summaryrefslogtreecommitdiff
path: root/verilator_example_tracing/hello.v
blob: a62addbb12766d19e6195aab190e9eaf12931e36 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
module hello(input clk);
	reg [31:0]	i = 0;
	initial begin
		$display("start");
		$dumpfile("dump.vcd");
		$dumpvars();
	end
	always @(posedge clk) begin
		if (i == 1000) begin
			$display("stop");
			$finish;
		end
		i <= i+1;
	end
endmodule