blob: 1afafb7bde823f06dc9d111d8c6920762e831a54 (
plain) (
blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
|
TOP = top
all: check top.bin
check:
verilator --lint-only --top $(TOP) top.v
clean:
rm -f top.asc top.bin top.json
load: all
iceprog top.bin
.PHONY: all check clean load
top.asc: top.json top.pcf
nextpnr-ice40 --hx1k --package tq144 --json top.json --pcf top.pcf --asc top.asc --top $(TOP)
top.bin: top.asc
icepack top.asc top.bin
top.json: top.v
yosys -q -p "synth_ice40 -json top.json -top $(TOP)" top.v
|