From f164a8bc5b083e993977c807aae1006552abc45d Mon Sep 17 00:00:00 2001 From: Vladimir Vassilev Date: Fri, 14 Feb 2020 02:12:14 +0100 Subject: Release ice4pi-1.1 --- CHANGELOG | 10 + bom.csv | 22 + example/Makefile | 11 + example/README | 13 + example/ice4pi_prog | 7 + example/rot.pcf | 8 + example/rot.v | 32 + ice4pi.kicad_pcb | 1013 ++++++++++++++++---- ...inSocket_2x20_P2.54mm_Vertical_1_04mm.kicad_mod | 75 ++ ice4pi.pretty/TFDU4101-TR3.kicad_mod | 38 +- ice4pi.sch | 16 +- 11 files changed, 1016 insertions(+), 229 deletions(-) create mode 100644 bom.csv create mode 100644 example/Makefile create mode 100644 example/README create mode 100755 example/ice4pi_prog create mode 100644 example/rot.pcf create mode 100644 example/rot.v create mode 100644 ice4pi.pretty/PinSocket_2x20_P2.54mm_Vertical_1_04mm.kicad_mod diff --git a/CHANGELOG b/CHANGELOG index b6326fd..b9a740c 100644 --- a/CHANGELOG +++ b/CHANGELOG @@ -1,3 +1,13 @@ +ice4pi (1.1) buster; urgency=medium + + * Schematic: Fixed footprint bugs + * Layout: Added direction indicator for component footprint + * Layout: Flipped the 2x20 Pi Hat connector to the Back layer and replaced the header footprint with socket + * Layout: Removed unnecessary track + * Added example installing all necessary Debian packages and synthesizing and loading example code + + -- Vladimir Vassilev Fri, 14 Feb 2020 02:10:13 +0100 + ice4pi (1.0) buster; urgency=medium * Initial version diff --git a/bom.csv b/bom.csv new file mode 100644 index 0000000..60ea057 --- /dev/null +++ b/bom.csv @@ -0,0 +1,22 @@ +Reference,Quantity,Identifier,footprint,Value,Tolerance,Voltage,Current,Power,PN +U1,1,,Package_QFP:TQFP-144_20x20mm_P0.5mm,,,,,,ICE40HX1K-TQ144 +U2,1,,Package_SO:SOIC-8_3.9x4.9mm_P1.27mm,,,,,,W25Q32JVSNIQ +R2;R16;R17;R15;R19;R18,6,,Resistor_SMD:R_0402_1005Metric,10K,,,,,ERJ-2GEJ103X +C10;C29;C27;C6;C11;C13;C15;C5,8,,Capacitor_SMD:C_0402_1005Metric,0.01uF,,,,,885012205012 +C26;C18;C28;C19;C21;C23;C25;C24;C3;C9;C12;C14;C2;C32,14,,Capacitor_SMD:C_0402_1005Metric,0.1uF,,,,,885012205037 +C30;C16;C20;C1;C4,5,,Capacitor_SMD:C_0402_1005Metric,10uF,,,,,ZRB15XR61A106ME01D +R20;R7,2,,Resistor_SMD:R_0402_1005Metric,100,,,,,ERJ-2GEJ101X +D5,1,,LED_SMD:LED_0402_1005Metric,LED,,,,,150040VS73240 +U3,1,,ice4pi:TSSOP-20_4.4x6.5mm_P0.65mm,LT3030,,,,,LT3030EFE#PBF +R9,1,,Resistor_SMD:R_0402_1005Metric,0.1,,,,,2176049-8 +R1,1,,Resistor_SMD:R_0402_1005Metric,1M,,,,,ERJ-2GEJ105X +C8;C31,2,,Capacitor_SMD:C_0402_1005Metric,4.7uF,,,,,C1005X5R0J475M050BC +U4,1,,Oscillator:Oscillator_SMD_Abracon_ASDMB-4Pin_2.5x2.0mm,,,,,,DSC1001DI1-012.0000 +C17;C22,2,,Capacitor_SMD:C_0402_1005Metric,1 uF,,,,,885012105012 +J2,1,,ice4pi:PinSocket_2x20_P2.54mm_Vertical_1_04mm,Raspberry_Pi_2_3,,,,,SSW-120-01-T-D-006 +J1,1,,ice4pi:PinSocket_2x06_P2.54mm_Vertical_1to6_7to12,Pmod 2x6,,,,,SSW-106-01-T-D +D6,1,,Diode_SMD:D_0603_1608Metric,CDBU0520,,,,,CDBU0520 +U5,1,,ice4pi:TFDU4101-TR3,TFDU4101-TR3,,,,,TFDU4101-TR3 +R21;R22,2,,Resistor_SMD:R_0402_1005Metric,47,,,,,ERJ-2GEJ470X +D4;D3;D2;D1,4,,LED_SMD:LED_0402_1005Metric,LED,,,,,150040BS73240 +R14;R13;R12;R11;R10,5,,Resistor_SMD:R_0402_1005Metric,1K,,,,,ERJ-2GEJ102X diff --git a/example/Makefile b/example/Makefile new file mode 100644 index 0000000..a55ea4c --- /dev/null +++ b/example/Makefile @@ -0,0 +1,11 @@ + +rot.bin: rot.v rot.pcf + yosys -q -p "synth_ice40 -blif rot.blif" rot.v + arachne-pnr -p rot.pcf rot.blif -o rot.txt + icebox_explain rot.txt > rot.ex + icepack rot.txt rot.bin + ./ice4pi_prog rot.bin +# iceprog rot.bin + +clean: + rm -f rot.blif rot.txt rot.ex rot.bin diff --git a/example/README b/example/README new file mode 100644 index 0000000..ddc381d --- /dev/null +++ b/example/README @@ -0,0 +1,13 @@ +To install all necessary packages and synthesize and program ice4pi: + + sudo apt-get install yosys fpga-icestorm arachne-pnr + make + +1. Make sure your Pi has SPI enabled + +2. There is a problem in arachne-pnr reporting bogus dependency conflict that can be worked around: + + apt-get source arachne-pnr + cd arachne-pnr-0.1+20180909git840bdfd-1.1/ + dpkg-buildpackage -us -uc -j2 + sudo dpkg -i ../arachne*.deb diff --git a/example/ice4pi_prog b/example/ice4pi_prog new file mode 100755 index 0000000..dea5c7a --- /dev/null +++ b/example/ice4pi_prog @@ -0,0 +1,7 @@ +#!/bin/bash +echo 24 > /sys/class/gpio/export +echo out > /sys/class/gpio/gpio24/direction +tr '\0' '\377' < /dev/zero | dd bs=1M count=4 of=image iflag=fullblock +dd if=${1} conv=notrunc of=image +flashrom -p linux_spi:dev=/dev/spidev0.0,spispeed=20000 -w image +echo in > /sys/class/gpio/gpio24/direction diff --git a/example/rot.pcf b/example/rot.pcf new file mode 100644 index 0000000..46b013f --- /dev/null +++ b/example/rot.pcf @@ -0,0 +1,8 @@ +# For the iCE40HX-1K iCEstick + +set_io D1 99 +set_io D2 98 +set_io D3 97 +set_io D4 96 +set_io D5 95 +set_io clk 21 diff --git a/example/rot.v b/example/rot.v new file mode 100644 index 0000000..e7bc2a1 --- /dev/null +++ b/example/rot.v @@ -0,0 +1,32 @@ + +module top(input clk, output D1, output D2, output D3, output D4, output D5); + + reg ready = 0; + reg [23:0] divider; + reg [3:0] rot; + + always @(posedge clk) begin + if (ready) + begin + if (divider == 12000000) + begin + divider <= 0; + rot <= {rot[2:0], rot[3]}; + end + else + divider <= divider + 1; + end + else + begin + ready <= 1; + rot <= 4'b1110; + divider <= 0; + end + end + + assign D1 = rot[0]; + assign D2 = rot[1]; + assign D3 = rot[2]; + assign D4 = rot[3]; + assign D5 = 1; +endmodule // top diff --git a/ice4pi.kicad_pcb b/ice4pi.kicad_pcb index 83424b7..690340a 100644 --- a/ice4pi.kicad_pcb +++ b/ice4pi.kicad_pcb @@ -3,7 +3,7 @@ (general (thickness 1.6) (drawings 17) - (tracks 923) + (tracks 922) (zones 0) (modules 66) (nets 166) @@ -11,27 +11,27 @@ (page A4) (layers - (0 F.Cu signal hide) - (1 In1.Cu power hide) - (2 In2.Cu power hide) + (0 F.Cu signal) + (1 In1.Cu power) + (2 In2.Cu power) (31 B.Cu signal) - (32 B.Adhes user hide) - (33 F.Adhes user hide) + (32 B.Adhes user) + (33 F.Adhes user) (34 B.Paste user) - (35 F.Paste user hide) + (35 F.Paste user) (36 B.SilkS user) - (37 F.SilkS user hide) - (38 B.Mask user hide) - (39 F.Mask user hide) - (40 Dwgs.User user hide) - (41 Cmts.User user hide) - (42 Eco1.User user hide) - (43 Eco2.User user hide) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) (44 Edge.Cuts user) - (45 Margin user hide) - (46 B.CrtYd user hide) - (47 F.CrtYd user hide) - (48 B.Fab user hide) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) (49 F.Fab user) ) @@ -87,9 +87,9 @@ (subtractmaskfromsilk false) (outputformat 1) (mirror false) - (drillshape 1) + (drillshape 0) (scaleselection 1) - (outputdirectory "")) + (outputdirectory "gerbers")) ) (net 0 "") @@ -442,156 +442,225 @@ (uvia_drill 0.1) ) - (module ice4pi:TFDU4101-TR3 (layer F.Cu) (tedit 5E2F7BC5) (tstamp 5E474CBD) - (at 113.4 64 90) - (path /5E3647BC) - (fp_text reference U5 (at -5.35 -1.4 270) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value TFDU4101-TR3 (at 5.4 2.6 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user Edge (at 0.4 2.3 90) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start -4 1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) - (fp_line (start 4 1.5) (end 4 -1.5) (layer F.CrtYd) (width 0.15)) - (fp_line (start 4 -1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) - (fp_line (start 4 1.5) (end -4 1.5) (layer F.CrtYd) (width 0.15)) - (fp_line (start 3.8 -1.4) (end 4 -1.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 4 -1.4) (end 4 -1.2) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.8 -1.4) (end -4 -1.4) (layer F.SilkS) (width 0.15)) - (fp_line (start -4 -1.4) (end -4 -1.2) (layer F.SilkS) (width 0.15)) - (pad 1 smd rect (at -3.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 152 "Net-(R21-Pad1)")) - (pad 2 smd rect (at -2.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 153 "Net-(U5-Pad2)")) - (pad 3 smd rect (at -1.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 151 IR_TXD)) - (pad 4 smd rect (at -0.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 150 IR_RXD)) - (pad 5 smd rect (at 0.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 149 IR_SD)) - (pad 6 smd rect (at 1.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 154 "Net-(C32-Pad1)")) - (pad 7 smd rect (at 2.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 155 "Net-(U5-Pad7)")) - (pad 8 smd rect (at 3.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) - (net 156 "Net-(C31-Pad2)")) - ) - - (module Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5C2616A7) - (at 58.4 53.8 90) - (descr "Through hole straight pin header, 2x20, 2.54mm pitch, double rows") - (tags "Through hole pin header THT 2x20 2.54mm double row") + (module ice4pi:PinSocket_2x20_P2.54mm_Vertical_1_04mm (layer B.Cu) (tedit 5A19A433) (tstamp 5E377842) + (at 58.4 53.8 270) + (descr "Through hole straight socket strip, 2x20, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 2x20 2.54mm double row") (path /5E30CCA6) - (fp_text reference J2 (at 4.1 -2.1) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text reference J2 (at -1.27 2.77 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text value Raspberry_Pi_2_3 (at 0 26.46) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text value Raspberry_Pi_2_3 (at -1.27 -51.03 270) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_text user %R (at 1.27 24.13 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) + (fp_text user %R (at -1.27 -24.13 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) ) - (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.35 50.05) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 50.05) (end 4.35 50.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.8 -1.8) (end -1.8 50.05) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 -1.33) (end 3.87 49.59) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 49.59) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 49.59) (end 3.87 49.59) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 49.53) (end -1.27 0) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 49.53) (end -1.27 49.53) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 -1.27) (end 3.81 49.53) (layer F.Fab) (width 0.1)) - (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) - (pad 40 thru_hole oval (at 2.54 48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (fp_line (start -4.34 -50) (end -4.34 1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.76 -50) (end -4.34 -50) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.76 1.8) (end 1.76 -50) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.34 1.8) (end 1.76 1.8) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0 1.33) (end 1.33 1.33) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.33 1.33) (end 1.33 0) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.27 1.33) (end -1.27 -1.27) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.27 -1.27) (end 1.33 -1.27) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.27) (end 1.33 -49.59) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.87 -49.59) (end 1.33 -49.59) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.87 1.33) (end -3.87 -49.59) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.87 1.33) (end -1.27 1.33) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.81 -49.53) (end -3.81 1.27) (layer B.Fab) (width 0.1)) + (fp_line (start 1.27 -49.53) (end -3.81 -49.53) (layer B.Fab) (width 0.1)) + (fp_line (start 1.27 0.27) (end 1.27 -49.53) (layer B.Fab) (width 0.1)) + (fp_line (start 0.27 1.27) (end 1.27 0.27) (layer B.Fab) (width 0.1)) + (fp_line (start -3.81 1.27) (end 0.27 1.27) (layer B.Fab) (width 0.1)) + (pad 40 thru_hole oval (at -2.54 -48.26 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 136 "Net-(J2-Pad40)")) - (pad 39 thru_hole oval (at 0 48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 39 thru_hole oval (at 0 -48.26 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 38 thru_hole oval (at 2.54 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 38 thru_hole oval (at -2.54 -45.72 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 135 "Net-(J2-Pad38)")) - (pad 37 thru_hole oval (at 0 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 37 thru_hole oval (at 0 -45.72 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 134 "Net-(J2-Pad37)")) - (pad 36 thru_hole oval (at 2.54 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 36 thru_hole oval (at -2.54 -43.18 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 133 "Net-(J2-Pad36)")) - (pad 35 thru_hole oval (at 0 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 35 thru_hole oval (at 0 -43.18 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 132 "Net-(J2-Pad35)")) - (pad 34 thru_hole oval (at 2.54 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 34 thru_hole oval (at -2.54 -40.64 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 33 thru_hole oval (at 0 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 33 thru_hole oval (at 0 -40.64 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 131 "Net-(J2-Pad33)")) - (pad 32 thru_hole oval (at 2.54 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 32 thru_hole oval (at -2.54 -38.1 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 130 "Net-(J2-Pad32)")) - (pad 31 thru_hole oval (at 0 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 31 thru_hole oval (at 0 -38.1 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 129 "Net-(J2-Pad31)")) - (pad 30 thru_hole oval (at 2.54 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 30 thru_hole oval (at -2.54 -35.56 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 29 thru_hole oval (at 0 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 29 thru_hole oval (at 0 -35.56 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 128 "Net-(J2-Pad29)")) - (pad 28 thru_hole oval (at 2.54 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 28 thru_hole oval (at -2.54 -33.02 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 127 "Net-(J2-Pad28)")) - (pad 27 thru_hole oval (at 0 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 27 thru_hole oval (at 0 -33.02 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 126 "Net-(J2-Pad27)")) - (pad 26 thru_hole oval (at 2.54 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 26 thru_hole oval (at -2.54 -30.48 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 125 "Net-(J2-Pad26)")) - (pad 25 thru_hole oval (at 0 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 25 thru_hole oval (at 0 -30.48 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 24 thru_hole oval (at 2.54 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 24 thru_hole oval (at -2.54 -27.94 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 94 iCE_SS_B)) - (pad 23 thru_hole oval (at 0 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 23 thru_hole oval (at 0 -27.94 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 93 iCE_SCK)) - (pad 22 thru_hole oval (at 2.54 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 22 thru_hole oval (at -2.54 -25.4 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 89 iCE_CDONE)) - (pad 21 thru_hole oval (at 0 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 21 thru_hole oval (at 0 -25.4 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 92 iCE_MISO)) - (pad 20 thru_hole oval (at 2.54 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 20 thru_hole oval (at -2.54 -22.86 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 19 thru_hole oval (at 0 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 19 thru_hole oval (at 0 -22.86 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 91 iCE_MOSI)) - (pad 18 thru_hole oval (at 2.54 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 18 thru_hole oval (at -2.54 -20.32 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 90 iCE_CREST)) - (pad 17 thru_hole oval (at 0 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 17 thru_hole oval (at 0 -20.32 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 97 +3V3)) - (pad 16 thru_hole oval (at 2.54 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 16 thru_hole oval (at -2.54 -17.78 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 164 "Net-(J2-Pad16)")) - (pad 15 thru_hole oval (at 0 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 15 thru_hole oval (at 0 -17.78 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 165 "Net-(J2-Pad15)")) - (pad 14 thru_hole oval (at 2.54 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 14 thru_hole oval (at -2.54 -15.24 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 13 thru_hole oval (at 0 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 13 thru_hole oval (at 0 -15.24 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 124 "Net-(J2-Pad13)")) - (pad 12 thru_hole oval (at 2.54 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 12 thru_hole oval (at -2.54 -12.7 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 123 "Net-(J2-Pad12)")) - (pad 11 thru_hole oval (at 0 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 11 thru_hole oval (at 0 -12.7 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 122 "Net-(J2-Pad11)")) - (pad 10 thru_hole oval (at 2.54 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 10 thru_hole oval (at -2.54 -10.16 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 147 RS232_Tx_TTL)) - (pad 9 thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 9 thru_hole oval (at 0 -10.16 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 8 thru_hole oval (at 2.54 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 8 thru_hole oval (at -2.54 -7.62 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 148 RS232_Rx_TTL)) - (pad 7 thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 7 thru_hole oval (at 0 -7.62 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 121 "Net-(J2-Pad7)")) - (pad 6 thru_hole oval (at 2.54 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 6 thru_hole oval (at -2.54 -5.08 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 5 GND)) - (pad 5 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 5 thru_hole oval (at 0 -5.08 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 144 PIO0_03)) - (pad 4 thru_hole oval (at 2.54 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 4 thru_hole oval (at -2.54 -2.54 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 98 +5V)) - (pad 3 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 3 thru_hole oval (at 0 -2.54 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 145 PIO0_02)) - (pad 2 thru_hole oval (at 2.54 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 2 thru_hole oval (at -2.54 0 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 98 +5V)) - (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask) (net 97 +3V3)) - (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x20_P2.54mm_Vertical.wrl + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x20_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module ice4pi:TFDU4101-TR3 (layer F.Cu) (tedit 5E345041) (tstamp 5E474CBD) + (at 113.4 64 90) + (path /5E3647BC) + (attr smd) + (fp_text reference U5 (at -5.35 -1.4 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TFDU4101-TR3 (at 5.4 2.6 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user 8 (at 4 -0.7 90) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.05))) + ) + (fp_text user 1 (at -4 -0.7 90) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.05))) + ) + (fp_line (start -4 -1.4) (end -4 -1.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.8 -1.4) (end -4 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 4 -1.4) (end 4 -1.2) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.8 -1.4) (end 4 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 4 1.5) (end -4 1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 4 -1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 4 1.5) (end 4 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start -4 1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_text user Edge (at 0.4 2.3 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 8 smd rect (at 3.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 156 "Net-(C31-Pad2)")) + (pad 7 smd rect (at 2.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 155 "Net-(U5-Pad7)")) + (pad 6 smd rect (at 1.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 154 "Net-(C32-Pad1)")) + (pad 5 smd rect (at 0.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 149 IR_SD)) + (pad 4 smd rect (at -0.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 150 IR_RXD)) + (pad 3 smd rect (at -1.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 151 IR_TXD)) + (pad 2 smd rect (at -2.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 153 "Net-(U5-Pad2)")) + (pad 1 smd rect (at -3.5 0 90) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask) + (net 152 "Net-(R21-Pad1)")) + ) + + (module ice4pi:PinSocket_2x06_P2.54mm_Vertical_1to6_7to12 (layer F.Cu) (tedit 5E2B2FE1) (tstamp 5E4795E8) + (at 109.3 70.35 180) + (descr "Through hole straight socket strip, 2x06, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 2x06 2.54mm double row") + (path /5E30D74F) + (fp_text reference J1 (at -1.27 -2.77 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value "Pmod 2x6" (at -1.27 15.47 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 3.81 -1.27) (end -0.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -0.27 -1.27) (end -1.27 -0.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.27) (end -1.27 13.97) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 13.97) (end 3.81 13.97) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 13.97) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 3.87 -1.33) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.87 -1.33) (end 3.87 14.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.87 14.03) (end -1.33 14.03) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 14.03) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end -1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.33) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end -1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -1.33) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.34 -1.8) (end -1.76 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.76 -1.8) (end -1.76 14.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.76 14.45) (end 4.34 14.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.34 14.45) (end 4.34 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 1.27 6.35 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 113 PIO1_02)) + (pad 7 thru_hole oval (at 2.54 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 117 PIO1_06)) + (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 114 PIO1_03)) + (pad 8 thru_hole oval (at 2.54 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 118 PIO1_07)) + (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 115 PIO1_04)) + (pad 9 thru_hole oval (at 2.54 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 119 PIO1_08)) + (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 116 PIO1_05)) + (pad 10 thru_hole oval (at 2.54 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 120 PIO1_09)) + (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 GND)) + (pad 11 thru_hole oval (at 2.54 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 GND)) + (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 97 +3V3)) + (pad 12 thru_hole oval (at 2.54 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 97 +3V3)) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x06_P2.54mm_Vertical.wrl (at (xyz 0 0 0)) (scale (xyz 1 1 1)) (rotate (xyz 0 0 0)) @@ -980,68 +1049,6 @@ ) ) - (module ice4pi:PinSocket_2x06_P2.54mm_Vertical_1to6_7to12 (layer F.Cu) (tedit 5E2B2FE1) (tstamp 5E4795E8) - (at 109.3 70.35 180) - (descr "Through hole straight socket strip, 2x06, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") - (tags "Through hole socket strip THT 2x06 2.54mm double row") - (path /5E30D74F) - (fp_text reference J1 (at -1.27 -2.77 180) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text value "Pmod 2x6" (at -1.27 15.47 180) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_text user %R (at 1.27 6.35 270) (layer F.Fab) - (effects (font (size 1 1) (thickness 0.15))) - ) - (fp_line (start 4.34 14.45) (end 4.34 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.76 14.45) (end 4.34 14.45) (layer F.CrtYd) (width 0.05)) - (fp_line (start -1.76 -1.8) (end -1.76 14.45) (layer F.CrtYd) (width 0.05)) - (fp_line (start 4.34 -1.8) (end -1.76 -1.8) (layer F.CrtYd) (width 0.05)) - (fp_line (start 0 -1.33) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 -1.33) (end -1.33 0) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 -1.33) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start 1.27 1.27) (end -1.33 1.27) (layer F.SilkS) (width 0.12)) - (fp_line (start -1.33 1.27) (end -1.33 14.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 14.03) (end -1.33 14.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 -1.33) (end 3.87 14.03) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.87 -1.33) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) - (fp_line (start 3.81 13.97) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 13.97) (end 3.81 13.97) (layer F.Fab) (width 0.1)) - (fp_line (start -1.27 -0.27) (end -1.27 13.97) (layer F.Fab) (width 0.1)) - (fp_line (start -0.27 -1.27) (end -1.27 -0.27) (layer F.Fab) (width 0.1)) - (fp_line (start 3.81 -1.27) (end -0.27 -1.27) (layer F.Fab) (width 0.1)) - (pad 12 thru_hole oval (at 2.54 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 97 +3V3)) - (pad 6 thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 97 +3V3)) - (pad 11 thru_hole oval (at 2.54 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad 5 thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 5 GND)) - (pad 10 thru_hole oval (at 2.54 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 120 PIO1_09)) - (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 116 PIO1_05)) - (pad 9 thru_hole oval (at 2.54 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 119 PIO1_08)) - (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 115 PIO1_04)) - (pad 8 thru_hole oval (at 2.54 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 118 PIO1_07)) - (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 114 PIO1_03)) - (pad 7 thru_hole oval (at 2.54 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 117 PIO1_06)) - (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 113 PIO1_02)) - (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x06_P2.54mm_Vertical.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (module Capacitor_SMD:C_0402_1005Metric (layer B.Cu) (tedit 5E2B8C45) (tstamp 5E474C90) (at 113.1 61.5 180) (descr "Capacitor SMD 0402 (1005 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") @@ -1296,7 +1303,7 @@ (fp_text reference U2 (at 0 -3.4 270) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value N25Q032A13ESC40F (at 0 3.4 270) (layer F.Fab) + (fp_text value "W25Q32JVSSIQ(SOIC-8_208mil)" (at 0 3.4 270) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) (fp_line (start 0 2.56) (end 1.95 2.56) (layer F.SilkS) (width 0.12)) @@ -3105,7 +3112,7 @@ ) ) - (module ice4pi:lsi-logo-16mm (layer F.Cu) (tedit 5E2F5F82) (tstamp 5E4ACF74) + (module ice4pi:lsi-logo-16mm (layer F.Cu) (tedit 5E2F5F82) (tstamp 5E3781CF) (at 99.3 75.55) (descr "Imported from /home/vladimir/lsi/logo-kicad-3-with-holes.svg") (tags svg2mod) @@ -3251,7 +3258,7 @@ (xy -1.648484 -0.72116) (xy -1.756488 -0.765084) (xy -1.756488 -0.765085)) (layer F.Cu) (width 0)) ) - (gr_text "ice4pi ver. 1.0" (at 99.25 77.25) (layer F.SilkS) + (gr_text "ice4pi ver. 1.1" (at 99.25 77.25) (layer F.SilkS) (effects (font (size 1.5 1.5) (thickness 0.3))) ) (gr_line (start 108.425 49.425) (end 56.575 49.425) (layer Dwgs.User) (width 0.15)) @@ -3505,7 +3512,6 @@ (via (at 80 54.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 90)) (segment (start 80 52.54) (end 80 54.95) (width 0.25) (layer F.Cu) (net 90)) (segment (start 78.72 51.26) (end 80 52.54) (width 0.25) (layer F.Cu) (net 90)) - (segment (start 81.26 53.8) (end 81.15 53.8) (width 0.25) (layer F.Cu) (net 91)) (segment (start 80 54.95) (end 77.95001 56.99999) (width 0.25) (layer B.Cu) (net 90)) (segment (start 77.95001 56.99999) (end 77.95001 68.0136) (width 0.25) (layer B.Cu) (net 90)) (segment (start 77.95001 68.972992) (end 77.95001 68.0136) (width 0.25) (layer B.Cu) (net 90)) @@ -3523,6 +3529,7 @@ (segment (start 84.04999 77.07501) (end 84.04999 76.398116) (width 0.25) (layer F.Cu) (net 90)) (segment (start 83.75 76.098126) (end 84.04999 76.398116) (width 0.25) (layer F.Cu) (net 90)) (segment (start 83.75 71.15) (end 83.75 76.098126) (width 0.25) (layer F.Cu) (net 90)) + (segment (start 81.26 53.8) (end 81.15 53.8) (width 0.25) (layer F.Cu) (net 91)) (via (at 56.6 68.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 91)) (segment (start 56.645 70.975) (end 56.645 68.795) (width 0.25) (layer F.Cu) (net 91)) (segment (start 56.645 68.795) (end 56.6 68.75) (width 0.25) (layer F.Cu) (net 91)) @@ -3585,10 +3592,7 @@ (segment (start 85 77.9625) (end 85 77.125) (width 0.25) (layer F.Cu) (net 92)) (segment (start 57.9 70.99) (end 57.915 70.975) (width 0.25) (layer F.Cu) (net 93)) (segment (start 57.9 72.715) (end 57.9 70.99) (width 0.25) (layer F.Cu) (net 93)) - (via (at 85.2 55.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 94)) (segment (start 86.34 53.8) (end 86.34 54.06) (width 0.25) (layer F.Cu) (net 93)) - (segment (start 60.465 66.015) (end 60.455 66.025) (width 0.25) (layer F.Cu) (net 94)) - (segment (start 61.85 66.015) (end 60.465 66.015) (width 0.25) (layer F.Cu) (net 94)) (via (at 85.05 52.55) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 93)) (segment (start 86.3 53.8) (end 85.05 52.55) (width 0.25) (layer F.Cu) (net 93)) (segment (start 86.34 53.8) (end 86.3 53.8) (width 0.25) (layer F.Cu) (net 93)) @@ -3627,6 +3631,9 @@ (segment (start 86.75 75.21359) (end 86.75 71.1) (width 0.25) (layer F.Cu) (net 93)) (segment (start 86 77.9625) (end 86 75.96359) (width 0.25) (layer F.Cu) (net 93)) (segment (start 86 75.96359) (end 86.75 75.21359) (width 0.25) (layer F.Cu) (net 93)) + (via (at 85.2 55.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 94)) + (segment (start 60.465 66.015) (end 60.455 66.025) (width 0.25) (layer F.Cu) (net 94)) + (segment (start 61.85 66.015) (end 60.465 66.015) (width 0.25) (layer F.Cu) (net 94)) (segment (start 83.65499 56.74501) (end 85.2 55.2) (width 0.25) (layer B.Cu) (net 94)) (segment (start 83.65499 59.19501) (end 83.65499 56.74501) (width 0.25) (layer B.Cu) (net 94)) (segment (start 82.10498 60.74502) (end 83.65499 59.19501) (width 0.25) (layer B.Cu) (net 94)) @@ -3858,7 +3865,6 @@ (segment (start 61.35 58.5) (end 61.12001 58.27001) (width 0.4) (layer F.Cu) (net 100)) (segment (start 61.35 58.565) (end 61.35 58.5) (width 0.4) (layer F.Cu) (net 100)) (segment (start 59.6 58.125) (end 61.12001 58.27001) (width 0.4) (layer F.Cu) (net 100)) - (segment (start 61.12001 58.27001) (end 60.795702 58.125) (width 0.4) (layer F.Cu) (net 100)) (via (at 85.5 67) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 101)) (segment (start 88.075 66.55) (end 88.9125 66.55) (width 0.25) (layer F.Cu) (net 101)) (segment (start 86.515685 66.55) (end 88.075 66.55) (width 0.25) (layer F.Cu) (net 101)) @@ -3876,7 +3882,7 @@ (segment (start 76.5 75.265685) (end 76.5 77.9625) (width 0.25) (layer F.Cu) (net 101)) (segment (start 76.5 74.7) (end 76.5 75.265685) (width 0.25) (layer F.Cu) (net 101)) (via (at 65.8 57.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 101)) - (via (at 65.8 58.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 101)) + (via (at 65.8 58.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 101) (status 1000000)) (via (at 64.7 58.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 101)) (via (at 64.7 57.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 101)) (segment (start 66.75 71.55) (end 67.5875 71.55) (width 0.25) (layer F.Cu) (net 101)) @@ -4195,47 +4201,644 @@ (segment (start 112.35 61.5) (end 111.35 60.5) (width 0.25) (layer B.Cu) (net 156)) (segment (start 112.615 61.5) (end 112.35 61.5) (width 0.25) (layer B.Cu) (net 156)) - (zone (net 5) (net_name GND) (layer In1.Cu) (tstamp 0) (hatch edge 0.508) + (zone (net 5) (net_name GND) (layer In1.Cu) (tstamp 5E37913C) (hatch edge 0.508) (connect_pads (clearance 0.508)) (min_thickness 0.254) - (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts (xy 49.05 47.55) (xy 116.3 47.5) (xy 116.3 80.25) (xy 49 80.2) ) ) + (filled_polygon + (pts + (xy 109.672051 49.764281) (xy 108.764281 50.672051) (xy 108.273 51.85811) (xy 108.273 53.14189) (xy 108.764281 54.327949) + (xy 109.672051 55.235719) (xy 110.85811 55.727) (xy 112.14189 55.727) (xy 113.327949 55.235719) (xy 114.235719 54.327949) + (xy 114.34 54.076192) (xy 114.34 58.215) (xy 114.169126 58.215) (xy 113.78872 58.372569) (xy 113.497569 58.66372) + (xy 113.34 59.044126) (xy 113.34 59.455874) (xy 113.497569 59.83628) (xy 113.78872 60.127431) (xy 114.169126 60.285) + (xy 114.34 60.285) (xy 114.340001 68.27629) (xy 114.08628 68.022569) (xy 113.705874 67.865) (xy 113.294126 67.865) + (xy 112.91372 68.022569) (xy 112.622569 68.31372) (xy 112.465 68.694126) (xy 112.465 69.105874) (xy 112.622569 69.48628) + (xy 112.91372 69.777431) (xy 113.294126 69.935) (xy 113.705874 69.935) (xy 114.08628 69.777431) (xy 114.340001 69.52371) + (xy 114.340001 73.92381) (xy 114.235719 73.672051) (xy 113.327949 72.764281) (xy 112.14189 72.273) (xy 110.85811 72.273) + (xy 109.672051 72.764281) (xy 108.764281 73.672051) (xy 108.273 74.85811) (xy 108.273 76.14189) (xy 108.764281 77.327949) + (xy 109.672051 78.235719) (xy 109.923808 78.34) (xy 55.076192 78.34) (xy 55.327949 78.235719) (xy 56.235719 77.327949) + (xy 56.726073 76.144126) (xy 61.715 76.144126) (xy 61.715 76.555874) (xy 61.872569 76.93628) (xy 62.16372 77.227431) + (xy 62.544126 77.385) (xy 62.955874 77.385) (xy 63.33628 77.227431) (xy 63.627431 76.93628) (xy 63.785 76.555874) + (xy 63.785 76.144126) (xy 63.681447 75.894126) (xy 73.015 75.894126) (xy 73.015 76.305874) (xy 73.172569 76.68628) + (xy 73.46372 76.977431) (xy 73.844126 77.135) (xy 74.255874 77.135) (xy 74.63628 76.977431) (xy 74.927431 76.68628) + (xy 75.085 76.305874) (xy 75.085 75.894126) (xy 75.043579 75.794126) (xy 78.415 75.794126) (xy 78.415 76.205874) + (xy 78.572569 76.58628) (xy 78.86372 76.877431) (xy 79.244126 77.035) (xy 79.655874 77.035) (xy 80.03628 76.877431) + (xy 80.327431 76.58628) (xy 80.485 76.205874) (xy 80.485 76.044126) (xy 86.465 76.044126) (xy 86.465 76.455874) + (xy 86.622569 76.83628) (xy 86.91372 77.127431) (xy 87.294126 77.285) (xy 87.705874 77.285) (xy 88.08628 77.127431) + (xy 88.377431 76.83628) (xy 88.535 76.455874) (xy 88.535 76.044126) (xy 88.377431 75.66372) (xy 88.08628 75.372569) + (xy 87.705874 75.215) (xy 87.294126 75.215) (xy 86.91372 75.372569) (xy 86.622569 75.66372) (xy 86.465 76.044126) + (xy 80.485 76.044126) (xy 80.485 75.794126) (xy 80.327431 75.41372) (xy 80.03628 75.122569) (xy 79.655874 74.965) + (xy 79.244126 74.965) (xy 78.86372 75.122569) (xy 78.572569 75.41372) (xy 78.415 75.794126) (xy 75.043579 75.794126) + (xy 74.927431 75.51372) (xy 74.63628 75.222569) (xy 74.255874 75.065) (xy 73.844126 75.065) (xy 73.46372 75.222569) + (xy 73.172569 75.51372) (xy 73.015 75.894126) (xy 63.681447 75.894126) (xy 63.627431 75.76372) (xy 63.33628 75.472569) + (xy 62.955874 75.315) (xy 62.544126 75.315) (xy 62.16372 75.472569) (xy 61.872569 75.76372) (xy 61.715 76.144126) + (xy 56.726073 76.144126) (xy 56.727 76.14189) (xy 56.727 74.85811) (xy 56.576234 74.494126) (xy 75.465 74.494126) + (xy 75.465 74.905874) (xy 75.622569 75.28628) (xy 75.91372 75.577431) (xy 76.294126 75.735) (xy 76.705874 75.735) + (xy 77.08628 75.577431) (xy 77.377431 75.28628) (xy 77.535 74.905874) (xy 77.535 74.494126) (xy 77.377431 74.11372) + (xy 77.08628 73.822569) (xy 76.705874 73.665) (xy 76.294126 73.665) (xy 75.91372 73.822569) (xy 75.622569 74.11372) + (xy 75.465 74.494126) (xy 56.576234 74.494126) (xy 56.235719 73.672051) (xy 55.327949 72.764281) (xy 54.14189 72.273) + (xy 52.85811 72.273) (xy 51.672051 72.764281) (xy 50.764281 73.672051) (xy 50.66 73.923808) (xy 50.66 71.944126) + (xy 60.515 71.944126) (xy 60.515 72.355874) (xy 60.672569 72.73628) (xy 60.96372 73.027431) (xy 61.344126 73.185) + (xy 61.755874 73.185) (xy 62.13628 73.027431) (xy 62.169585 72.994126) (xy 68.665 72.994126) (xy 68.665 73.405874) + (xy 68.822569 73.78628) (xy 69.11372 74.077431) (xy 69.494126 74.235) (xy 69.905874 74.235) (xy 70.28628 74.077431) + (xy 70.577431 73.78628) (xy 70.636312 73.644126) (xy 84.965 73.644126) (xy 84.965 74.055874) (xy 85.122569 74.43628) + (xy 85.41372 74.727431) (xy 85.794126 74.885) (xy 86.205874 74.885) (xy 86.58628 74.727431) (xy 86.877431 74.43628) + (xy 87.035 74.055874) (xy 87.035 73.644126) (xy 86.877431 73.26372) (xy 86.58628 72.972569) (xy 86.205874 72.815) + (xy 85.794126 72.815) (xy 85.41372 72.972569) (xy 85.122569 73.26372) (xy 84.965 73.644126) (xy 70.636312 73.644126) + (xy 70.735 73.405874) (xy 70.735 72.994126) (xy 70.578547 72.616415) (xy 70.744126 72.685) (xy 71.155874 72.685) + (xy 71.53628 72.527431) (xy 71.827431 72.23628) (xy 71.985 71.855874) (xy 71.985 71.444126) (xy 71.827431 71.06372) + (xy 71.53628 70.772569) (xy 71.155874 70.615) (xy 70.744126 70.615) (xy 70.36372 70.772569) (xy 70.072569 71.06372) + (xy 69.915 71.444126) (xy 69.915 71.855874) (xy 70.071453 72.233585) (xy 69.905874 72.165) (xy 69.494126 72.165) + (xy 69.11372 72.322569) (xy 68.822569 72.61372) (xy 68.665 72.994126) (xy 62.169585 72.994126) (xy 62.427431 72.73628) + (xy 62.585 72.355874) (xy 62.585 71.944126) (xy 62.427431 71.56372) (xy 62.13628 71.272569) (xy 61.755874 71.115) + (xy 61.344126 71.115) (xy 60.96372 71.272569) (xy 60.672569 71.56372) (xy 60.515 71.944126) (xy 50.66 71.944126) + (xy 50.66 68.544126) (xy 55.565 68.544126) (xy 55.565 68.955874) (xy 55.722569 69.33628) (xy 56.01372 69.627431) + (xy 56.394126 69.785) (xy 56.805874 69.785) (xy 57.18628 69.627431) (xy 57.477431 69.33628) (xy 57.635 68.955874) + (xy 57.635 68.744126) (xy 75.865 68.744126) (xy 75.865 69.155874) (xy 76.022569 69.53628) (xy 76.31372 69.827431) + (xy 76.694126 69.985) (xy 76.865 69.985) (xy 76.865 70.280873) (xy 77.022569 70.661279) (xy 77.31372 70.95243) + (xy 77.694126 71.109999) (xy 77.915 71.109999) (xy 77.915 71.305874) (xy 78.072569 71.68628) (xy 78.36372 71.977431) + (xy 78.744126 72.135) (xy 79.155874 72.135) (xy 79.53628 71.977431) (xy 79.827431 71.68628) (xy 79.940347 71.413674) + (xy 91.432205 71.413674) (xy 91.432205 71.825422) (xy 91.589774 72.205828) (xy 91.880925 72.496979) (xy 92.219386 72.637174) + (xy 92.322569 72.88628) (xy 92.61372 73.177431) (xy 92.994126 73.335) (xy 93.405874 73.335) (xy 93.78628 73.177431) + (xy 94.077431 72.88628) (xy 94.235 72.505874) (xy 94.235 72.094126) (xy 94.077431 71.71372) (xy 93.78628 71.422569) + (xy 93.447819 71.282374) (xy 93.344636 71.033268) (xy 93.053485 70.742117) (xy 92.673079 70.584548) (xy 92.261331 70.584548) + (xy 91.880925 70.742117) (xy 91.589774 71.033268) (xy 91.432205 71.413674) (xy 79.940347 71.413674) (xy 79.985 71.305874) + (xy 79.985 70.894126) (xy 79.827431 70.51372) (xy 79.53628 70.222569) (xy 79.155874 70.065) (xy 78.935 70.065) + (xy 78.935 69.869125) (xy 78.777431 69.488719) (xy 78.48628 69.197568) (xy 78.105874 69.039999) (xy 77.935 69.039999) + (xy 77.935 68.744126) (xy 77.777431 68.36372) (xy 77.48628 68.072569) (xy 77.105874 67.915) (xy 76.694126 67.915) + (xy 76.31372 68.072569) (xy 76.022569 68.36372) (xy 75.865 68.744126) (xy 57.635 68.744126) (xy 57.635 68.544126) + (xy 57.477431 68.16372) (xy 57.18628 67.872569) (xy 56.805874 67.715) (xy 56.394126 67.715) (xy 56.01372 67.872569) + (xy 55.722569 68.16372) (xy 55.565 68.544126) (xy 50.66 68.544126) (xy 50.66 66.844126) (xy 61.965 66.844126) + (xy 61.965 67.255874) (xy 62.122569 67.63628) (xy 62.41372 67.927431) (xy 62.794126 68.085) (xy 63.205874 68.085) + (xy 63.58628 67.927431) (xy 63.877431 67.63628) (xy 64.035 67.255874) (xy 64.035 66.844126) (xy 63.938169 66.610355) + (xy 64.085 66.255874) (xy 64.085 66.098711) (xy 64.36372 66.377431) (xy 64.604941 66.477348) (xy 64.748295 66.823435) + (xy 65.039446 67.114586) (xy 65.419852 67.272155) (xy 65.8316 67.272155) (xy 66.212006 67.114586) (xy 66.503157 66.823435) + (xy 66.515297 66.794126) (xy 84.465 66.794126) (xy 84.465 67.205874) (xy 84.622569 67.58628) (xy 84.91372 67.877431) + (xy 85.294126 68.035) (xy 85.705874 68.035) (xy 85.765 68.010509) (xy 85.765 68.405874) (xy 85.922569 68.78628) + (xy 86.21372 69.077431) (xy 86.594126 69.235) (xy 87.005874 69.235) (xy 87.38628 69.077431) (xy 87.677431 68.78628) + (xy 87.835 68.405874) (xy 87.835 67.994126) (xy 87.677431 67.61372) (xy 87.457837 67.394126) (xy 97.615 67.394126) + (xy 97.615 67.805874) (xy 97.772569 68.18628) (xy 98.06372 68.477431) (xy 98.444126 68.635) (xy 98.855874 68.635) + (xy 99.23628 68.477431) (xy 99.425 68.288711) (xy 99.51372 68.377431) (xy 99.894126 68.535) (xy 100.305874 68.535) + (xy 100.68628 68.377431) (xy 100.977431 68.08628) (xy 101.135 67.705874) (xy 101.135 67.404158) (xy 101.272569 67.73628) + (xy 101.56372 68.027431) (xy 101.944126 68.185) (xy 102.355874 68.185) (xy 102.73628 68.027431) (xy 103.027431 67.73628) + (xy 103.185 67.355874) (xy 103.185 66.944126) (xy 103.139799 66.835) (xy 103.355874 66.835) (xy 103.73628 66.677431) + (xy 104.027431 66.38628) (xy 104.185 66.005874) (xy 104.185 65.594126) (xy 104.027431 65.21372) (xy 103.73628 64.922569) + (xy 103.355874 64.765) (xy 102.944126 64.765) (xy 102.56372 64.922569) (xy 102.272569 65.21372) (xy 102.115 65.594126) + (xy 102.115 66.005874) (xy 102.160201 66.115) (xy 101.944126 66.115) (xy 101.56372 66.272569) (xy 101.272569 66.56372) + (xy 101.115 66.944126) (xy 101.115 67.245842) (xy 100.977431 66.91372) (xy 100.68628 66.622569) (xy 100.305874 66.465) + (xy 99.894126 66.465) (xy 99.51372 66.622569) (xy 99.325 66.811289) (xy 99.23628 66.722569) (xy 98.855874 66.565) + (xy 98.444126 66.565) (xy 98.06372 66.722569) (xy 97.772569 67.01372) (xy 97.615 67.394126) (xy 87.457837 67.394126) + (xy 87.38628 67.322569) (xy 87.005874 67.165) (xy 86.594126 67.165) (xy 86.535 67.189491) (xy 86.535 66.794126) + (xy 86.377431 66.41372) (xy 86.08628 66.122569) (xy 85.705874 65.965) (xy 85.294126 65.965) (xy 84.91372 66.122569) + (xy 84.622569 66.41372) (xy 84.465 66.794126) (xy 66.515297 66.794126) (xy 66.660726 66.443029) (xy 66.660726 66.031281) + (xy 66.503157 65.650875) (xy 66.212006 65.359724) (xy 65.970785 65.259807) (xy 65.827431 64.91372) (xy 65.68501 64.771299) + (xy 73.916878 64.771299) (xy 73.916878 65.183047) (xy 74.074447 65.563453) (xy 74.365598 65.854604) (xy 74.746004 66.012173) + (xy 75.157752 66.012173) (xy 75.538158 65.854604) (xy 75.829309 65.563453) (xy 75.830735 65.56001) (xy 76.049689 65.56001) + (xy 76.430095 65.402441) (xy 76.68841 65.144126) (xy 78.54003 65.144126) (xy 78.54003 65.555874) (xy 78.697599 65.93628) + (xy 78.98875 66.227431) (xy 79.369156 66.385) (xy 79.780904 66.385) (xy 80.16131 66.227431) (xy 80.452461 65.93628) + (xy 80.61003 65.555874) (xy 80.61003 65.330554) (xy 80.768156 65.265056) (xy 81.059307 64.973905) (xy 81.216876 64.593499) + (xy 81.216876 64.181751) (xy 81.059307 63.801345) (xy 81.002573 63.744611) (xy 81.060039 63.605874) (xy 81.060039 63.194126) + (xy 80.90247 62.81372) (xy 80.611319 62.522569) (xy 80.230913 62.365) (xy 79.819165 62.365) (xy 79.438759 62.522569) + (xy 79.147608 62.81372) (xy 78.990039 63.194126) (xy 78.990039 63.605874) (xy 79.147608 63.98628) (xy 79.204342 64.043014) + (xy 79.146876 64.181751) (xy 79.146876 64.407071) (xy 78.98875 64.472569) (xy 78.697599 64.76372) (xy 78.54003 65.144126) + (xy 76.68841 65.144126) (xy 76.697536 65.135) (xy 76.955874 65.135) (xy 77.33628 64.977431) (xy 77.627431 64.68628) + (xy 77.785 64.305874) (xy 77.785 63.894126) (xy 77.627431 63.51372) (xy 77.33628 63.222569) (xy 76.955874 63.065) + (xy 76.544126 63.065) (xy 76.16372 63.222569) (xy 75.896279 63.49001) (xy 75.637941 63.49001) (xy 75.257535 63.647579) + (xy 74.966384 63.93873) (xy 74.964958 63.942173) (xy 74.746004 63.942173) (xy 74.365598 64.099742) (xy 74.074447 64.390893) + (xy 73.916878 64.771299) (xy 65.68501 64.771299) (xy 65.53628 64.622569) (xy 65.155874 64.465) (xy 64.744126 64.465) + (xy 64.36372 64.622569) (xy 64.072569 64.91372) (xy 63.915 65.294126) (xy 63.915 65.451289) (xy 63.63628 65.172569) + (xy 63.255874 65.015) (xy 62.844126 65.015) (xy 62.46372 65.172569) (xy 62.172569 65.46372) (xy 62.015 65.844126) + (xy 62.015 66.255874) (xy 62.111831 66.489645) (xy 61.965 66.844126) (xy 50.66 66.844126) (xy 50.66 64.594126) + (xy 51.315 64.594126) (xy 51.315 65.005874) (xy 51.472569 65.38628) (xy 51.76372 65.677431) (xy 52.144126 65.835) + (xy 52.555874 65.835) (xy 52.883846 65.69915) (xy 53.117582 65.795966) (xy 53.52933 65.795966) (xy 53.81461 65.6778) + (xy 54.194126 65.835) (xy 54.605874 65.835) (xy 54.98628 65.677431) (xy 55.277431 65.38628) (xy 55.348006 65.215897) + (xy 55.394126 65.235) (xy 55.805874 65.235) (xy 56.18628 65.077431) (xy 56.477431 64.78628) (xy 56.635 64.405874) + (xy 56.635 63.994126) (xy 56.477431 63.61372) (xy 56.18628 63.322569) (xy 55.805874 63.165) (xy 55.394126 63.165) + (xy 55.01372 63.322569) (xy 54.722569 63.61372) (xy 54.651994 63.784103) (xy 54.605874 63.765) (xy 54.194126 63.765) + (xy 53.908846 63.883166) (xy 53.52933 63.725966) (xy 53.117582 63.725966) (xy 52.78961 63.861816) (xy 52.555874 63.765) + (xy 52.144126 63.765) (xy 51.76372 63.922569) (xy 51.472569 64.21372) (xy 51.315 64.594126) (xy 50.66 64.594126) + (xy 50.66 62.344126) (xy 64.565 62.344126) (xy 64.565 62.755874) (xy 64.722569 63.13628) (xy 65.01372 63.427431) + (xy 65.394126 63.585) (xy 65.805874 63.585) (xy 66.18628 63.427431) (xy 66.477431 63.13628) (xy 66.635 62.755874) + (xy 66.635 62.344126) (xy 66.477431 61.96372) (xy 66.18628 61.672569) (xy 65.805874 61.515) (xy 65.394126 61.515) + (xy 65.01372 61.672569) (xy 64.722569 61.96372) (xy 64.565 62.344126) (xy 50.66 62.344126) (xy 50.66 60.944126) + (xy 68.465 60.944126) (xy 68.465 61.355874) (xy 68.617897 61.725) (xy 68.465 62.094126) (xy 68.465 62.505874) + (xy 68.622569 62.88628) (xy 68.91372 63.177431) (xy 69.294126 63.335) (xy 69.705874 63.335) (xy 70.08628 63.177431) + (xy 70.377431 62.88628) (xy 70.535 62.505874) (xy 70.535 62.344126) (xy 85.665 62.344126) (xy 85.665 62.755874) + (xy 85.822569 63.13628) (xy 86.11372 63.427431) (xy 86.494126 63.585) (xy 86.905874 63.585) (xy 87.28628 63.427431) + (xy 87.577431 63.13628) (xy 87.735 62.755874) (xy 87.735 62.594126) (xy 90.015 62.594126) (xy 90.015 63.005874) + (xy 90.136831 63.300001) (xy 90.015 63.594129) (xy 90.015 64.005877) (xy 90.172569 64.386283) (xy 90.46372 64.677434) + (xy 90.804447 64.818567) (xy 90.61372 64.897569) (xy 90.322569 65.18872) (xy 90.165 65.569126) (xy 90.165 65.980874) + (xy 90.322569 66.36128) (xy 90.61372 66.652431) (xy 90.994126 66.81) (xy 91.405874 66.81) (xy 91.78628 66.652431) + (xy 92.077431 66.36128) (xy 92.235 65.980874) (xy 92.235 65.569126) (xy 92.121029 65.293973) (xy 98.139847 65.293973) + (xy 98.139847 65.705721) (xy 98.297416 66.086127) (xy 98.588567 66.377278) (xy 98.968973 66.534847) (xy 99.380721 66.534847) + (xy 99.761127 66.377278) (xy 100.052278 66.086127) (xy 100.209847 65.705721) (xy 100.209847 65.293973) (xy 100.052278 64.913567) + (xy 99.761127 64.622416) (xy 99.380721 64.464847) (xy 98.968973 64.464847) (xy 98.588567 64.622416) (xy 98.297416 64.913567) + (xy 98.139847 65.293973) (xy 92.121029 65.293973) (xy 92.077431 65.18872) (xy 91.78628 64.897569) (xy 91.445553 64.756436) + (xy 91.63628 64.677434) (xy 91.927431 64.386283) (xy 92.085 64.005877) (xy 92.085 63.594129) (xy 91.963169 63.300002) + (xy 92.085 63.005874) (xy 92.085 62.594126) (xy 91.927431 62.21372) (xy 91.63628 61.922569) (xy 91.255874 61.765) + (xy 90.844126 61.765) (xy 90.46372 61.922569) (xy 90.172569 62.21372) (xy 90.015 62.594126) (xy 87.735 62.594126) + (xy 87.735 62.344126) (xy 87.577431 61.96372) (xy 87.28628 61.672569) (xy 86.905874 61.515) (xy 86.494126 61.515) + (xy 86.11372 61.672569) (xy 85.822569 61.96372) (xy 85.665 62.344126) (xy 70.535 62.344126) (xy 70.535 62.094126) + (xy 70.382103 61.725) (xy 70.535 61.355874) (xy 70.535 60.944126) (xy 70.377431 60.56372) (xy 70.08628 60.272569) + (xy 69.705874 60.115) (xy 69.294126 60.115) (xy 68.91372 60.272569) (xy 68.622569 60.56372) (xy 68.465 60.944126) + (xy 50.66 60.944126) (xy 50.66 54.076192) (xy 50.764281 54.327949) (xy 51.672051 55.235719) (xy 52.85811 55.727) + (xy 54.14189 55.727) (xy 55.1105 55.325789) (xy 55.022569 55.41372) (xy 54.865 55.794126) (xy 54.865 56.205874) + (xy 54.955765 56.425) (xy 54.865 56.644126) (xy 54.865 57.055874) (xy 55.022569 57.43628) (xy 55.31372 57.727431) + (xy 55.694126 57.885) (xy 56.105874 57.885) (xy 56.48628 57.727431) (xy 56.525 57.688711) (xy 56.56372 57.727431) + (xy 56.944126 57.885) (xy 57.355874 57.885) (xy 57.695974 57.744126) (xy 63.665 57.744126) (xy 63.665 58.155874) + (xy 63.786831 58.45) (xy 63.665 58.744126) (xy 63.665 59.155874) (xy 63.822569 59.53628) (xy 64.11372 59.827431) + (xy 64.494126 59.985) (xy 64.905874 59.985) (xy 65.25 59.842459) (xy 65.594126 59.985) (xy 66.005874 59.985) + (xy 66.38628 59.827431) (xy 66.677431 59.53628) (xy 66.835 59.155874) (xy 66.835 58.744126) (xy 66.752158 58.544126) + (xy 73.615 58.544126) (xy 73.615 58.955874) (xy 73.772569 59.33628) (xy 74.06372 59.627431) (xy 74.444126 59.785) + (xy 74.855874 59.785) (xy 75.23628 59.627431) (xy 75.527431 59.33628) (xy 75.685 58.955874) (xy 75.685 58.544126) + (xy 75.643579 58.444126) (xy 78.915 58.444126) (xy 78.915 58.855874) (xy 79.072569 59.23628) (xy 79.36372 59.527431) + (xy 79.744126 59.685) (xy 80.155874 59.685) (xy 80.53628 59.527431) (xy 80.769585 59.294126) (xy 83.865 59.294126) + (xy 83.865 59.705874) (xy 84.022569 60.08628) (xy 84.31372 60.377431) (xy 84.694126 60.535) (xy 85.105874 60.535) + (xy 85.48628 60.377431) (xy 85.777431 60.08628) (xy 85.935 59.705874) (xy 85.935 59.294126) (xy 85.777431 58.91372) + (xy 85.607837 58.744126) (xy 91.465 58.744126) (xy 91.465 59.155874) (xy 91.622569 59.53628) (xy 91.91372 59.827431) + (xy 92.294126 59.985) (xy 92.705874 59.985) (xy 93.08628 59.827431) (xy 93.377431 59.53628) (xy 93.519155 59.194126) + (xy 94.115 59.194126) (xy 94.115 59.605874) (xy 94.272569 59.98628) (xy 94.56372 60.277431) (xy 94.944126 60.435) + (xy 95.355874 60.435) (xy 95.675 60.302814) (xy 95.994126 60.435) (xy 96.405874 60.435) (xy 96.7 60.313169) + (xy 96.994126 60.435) (xy 97.405874 60.435) (xy 97.639645 60.338169) (xy 97.994126 60.485) (xy 98.405874 60.485) + (xy 98.78628 60.327431) (xy 99.077431 60.03628) (xy 99.235 59.655874) (xy 99.235 59.244126) (xy 99.21429 59.194126) + (xy 102.715 59.194126) (xy 102.715 59.605874) (xy 102.872569 59.98628) (xy 103.16372 60.277431) (xy 103.544126 60.435) + (xy 103.955874 60.435) (xy 104.33628 60.277431) (xy 104.627431 59.98628) (xy 104.785 59.605874) (xy 104.785 59.194126) + (xy 104.627431 58.81372) (xy 104.33628 58.522569) (xy 103.955874 58.365) (xy 103.544126 58.365) (xy 103.16372 58.522569) + (xy 102.872569 58.81372) (xy 102.715 59.194126) (xy 99.21429 59.194126) (xy 99.077431 58.86372) (xy 98.78628 58.572569) + (xy 98.405874 58.415) (xy 97.994126 58.415) (xy 97.760355 58.511831) (xy 97.405874 58.365) (xy 96.994126 58.365) + (xy 96.7 58.486831) (xy 96.405874 58.365) (xy 95.994126 58.365) (xy 95.675 58.497186) (xy 95.355874 58.365) + (xy 94.944126 58.365) (xy 94.56372 58.522569) (xy 94.272569 58.81372) (xy 94.115 59.194126) (xy 93.519155 59.194126) + (xy 93.535 59.155874) (xy 93.535 58.744126) (xy 93.377431 58.36372) (xy 93.08628 58.072569) (xy 92.705874 57.915) + (xy 92.294126 57.915) (xy 91.91372 58.072569) (xy 91.622569 58.36372) (xy 91.465 58.744126) (xy 85.607837 58.744126) + (xy 85.48628 58.622569) (xy 85.105874 58.465) (xy 84.694126 58.465) (xy 84.31372 58.622569) (xy 84.022569 58.91372) + (xy 83.865 59.294126) (xy 80.769585 59.294126) (xy 80.827431 59.23628) (xy 80.985 58.855874) (xy 80.985 58.444126) + (xy 80.827431 58.06372) (xy 80.53628 57.772569) (xy 80.240372 57.65) (xy 105.245908 57.65) (xy 105.361161 58.229418) + (xy 105.689375 58.720625) (xy 106.008478 58.933843) (xy 105.878642 58.994817) (xy 105.488355 59.423076) (xy 105.318524 59.83311) + (xy 105.439845 60.063) (xy 106.633 60.063) (xy 106.633 60.043) (xy 106.887 60.043) (xy 106.887 60.063) + (xy 109.173 60.063) (xy 109.173 60.043) (xy 109.427 60.043) (xy 109.427 60.063) (xy 109.447 60.063) + (xy 109.447 60.317) (xy 109.427 60.317) (xy 109.427 60.337) (xy 109.173 60.337) (xy 109.173 60.317) + (xy 106.887 60.317) (xy 106.887 60.337) (xy 106.633 60.337) (xy 106.633 60.317) (xy 105.439845 60.317) + (xy 105.318524 60.54689) (xy 105.488355 60.956924) (xy 105.878642 61.385183) (xy 106.008478 61.446157) (xy 105.689375 61.659375) + (xy 105.361161 62.150582) (xy 105.245908 62.73) (xy 105.361161 63.309418) (xy 105.689375 63.800625) (xy 105.987761 64) + (xy 105.689375 64.199375) (xy 105.361161 64.690582) (xy 105.245908 65.27) (xy 105.361161 65.849418) (xy 105.689375 66.340625) + (xy 105.987761 66.54) (xy 105.689375 66.739375) (xy 105.361161 67.230582) (xy 105.245908 67.81) (xy 105.361161 68.389418) + (xy 105.689375 68.880625) (xy 105.987761 69.08) (xy 105.689375 69.279375) (xy 105.361161 69.770582) (xy 105.245908 70.35) + (xy 105.361161 70.929418) (xy 105.689375 71.420625) (xy 106.180582 71.748839) (xy 106.613744 71.835) (xy 106.906256 71.835) + (xy 107.339418 71.748839) (xy 107.830625 71.420625) (xy 107.842816 71.402381) (xy 107.851843 71.447765) (xy 107.992191 71.657809) + (xy 108.202235 71.798157) (xy 108.45 71.84744) (xy 110.15 71.84744) (xy 110.397765 71.798157) (xy 110.607809 71.657809) + (xy 110.748157 71.447765) (xy 110.79744 71.2) (xy 110.79744 69.5) (xy 110.748157 69.252235) (xy 110.607809 69.042191) + (xy 110.397765 68.901843) (xy 110.352381 68.892816) (xy 110.370625 68.880625) (xy 110.698839 68.389418) (xy 110.703297 68.367008) + (xy 110.81372 68.477431) (xy 111.194126 68.635) (xy 111.605874 68.635) (xy 111.98628 68.477431) (xy 112.277431 68.18628) + (xy 112.435 67.805874) (xy 112.435 67.394126) (xy 112.277431 67.01372) (xy 111.98628 66.722569) (xy 111.605874 66.565) + (xy 111.194126 66.565) (xy 110.81372 66.722569) (xy 110.541372 66.994917) (xy 110.370625 66.739375) (xy 110.072239 66.54) + (xy 110.370625 66.340625) (xy 110.698839 65.849418) (xy 110.814092 65.27) (xy 110.698839 64.690582) (xy 110.370625 64.199375) + (xy 110.072239 64) (xy 110.370625 63.800625) (xy 110.698839 63.309418) (xy 110.814092 62.73) (xy 110.751471 62.415182) + (xy 110.91372 62.577431) (xy 111.074449 62.644007) (xy 110.901305 62.817151) (xy 110.743736 63.197557) (xy 110.743736 63.609305) + (xy 110.901305 63.989711) (xy 111.192456 64.280862) (xy 111.572862 64.438431) (xy 111.98461 64.438431) (xy 112.365016 64.280862) + (xy 112.656167 63.989711) (xy 112.813736 63.609305) (xy 112.813736 63.197557) (xy 112.656167 62.817151) (xy 112.365016 62.526) + (xy 112.204287 62.459424) (xy 112.377431 62.28628) (xy 112.535 61.905874) (xy 112.535 61.494126) (xy 112.377431 61.11372) + (xy 112.263328 60.999617) (xy 112.385 60.705874) (xy 112.385 60.294126) (xy 112.227431 59.91372) (xy 111.93628 59.622569) + (xy 111.555874 59.465) (xy 111.144126 59.465) (xy 110.76372 59.622569) (xy 110.686328 59.699961) (xy 110.571645 59.423076) + (xy 110.181358 58.994817) (xy 110.051522 58.933843) (xy 110.370625 58.720625) (xy 110.698839 58.229418) (xy 110.814092 57.65) + (xy 110.698839 57.070582) (xy 110.370625 56.579375) (xy 109.879418 56.251161) (xy 109.446256 56.165) (xy 109.153744 56.165) + (xy 108.720582 56.251161) (xy 108.229375 56.579375) (xy 108.03 56.877761) (xy 107.830625 56.579375) (xy 107.339418 56.251161) + (xy 106.906256 56.165) (xy 106.613744 56.165) (xy 106.180582 56.251161) (xy 105.689375 56.579375) (xy 105.361161 57.070582) + (xy 105.245908 57.65) (xy 80.240372 57.65) (xy 80.155874 57.615) (xy 79.744126 57.615) (xy 79.36372 57.772569) + (xy 79.072569 58.06372) (xy 78.915 58.444126) (xy 75.643579 58.444126) (xy 75.527431 58.16372) (xy 75.23628 57.872569) + (xy 74.855874 57.715) (xy 74.444126 57.715) (xy 74.06372 57.872569) (xy 73.772569 58.16372) (xy 73.615 58.544126) + (xy 66.752158 58.544126) (xy 66.713169 58.45) (xy 66.835 58.155874) (xy 66.835 57.744126) (xy 66.677431 57.36372) + (xy 66.38628 57.072569) (xy 66.005874 56.915) (xy 65.594126 56.915) (xy 65.25 57.057541) (xy 64.905874 56.915) + (xy 64.494126 56.915) (xy 64.11372 57.072569) (xy 63.822569 57.36372) (xy 63.665 57.744126) (xy 57.695974 57.744126) + (xy 57.73628 57.727431) (xy 58.027431 57.43628) (xy 58.185 57.055874) (xy 58.185 56.644126) (xy 58.094235 56.425) + (xy 58.185 56.205874) (xy 58.185 55.794126) (xy 58.027431 55.41372) (xy 57.911151 55.29744) (xy 59.25 55.29744) + (xy 59.497765 55.248157) (xy 59.707809 55.107809) (xy 59.848157 54.897765) (xy 59.857184 54.852381) (xy 59.869375 54.870625) + (xy 60.360582 55.198839) (xy 60.793744 55.285) (xy 61.086256 55.285) (xy 61.519418 55.198839) (xy 62.010625 54.870625) + (xy 62.21 54.572239) (xy 62.409375 54.870625) (xy 62.900582 55.198839) (xy 63.333744 55.285) (xy 63.626256 55.285) + (xy 64.059418 55.198839) (xy 64.550625 54.870625) (xy 64.75 54.572239) (xy 64.949375 54.870625) (xy 65.440582 55.198839) + (xy 65.873744 55.285) (xy 66.166256 55.285) (xy 66.599418 55.198839) (xy 67.090625 54.870625) (xy 67.303843 54.551522) + (xy 67.364817 54.681358) (xy 67.793076 55.071645) (xy 68.20311 55.241476) (xy 68.433 55.120155) (xy 68.433 53.927) + (xy 68.413 53.927) (xy 68.413 53.673) (xy 68.433 53.673) (xy 68.433 53.653) (xy 68.687 53.653) + (xy 68.687 53.673) (xy 68.707 53.673) (xy 68.707 53.927) (xy 68.687 53.927) (xy 68.687 55.120155) + (xy 68.91689 55.241476) (xy 69.326924 55.071645) (xy 69.755183 54.681358) (xy 69.816157 54.551522) (xy 70.029375 54.870625) + (xy 70.520582 55.198839) (xy 70.953744 55.285) (xy 71.246256 55.285) (xy 71.679418 55.198839) (xy 72.170625 54.870625) + (xy 72.37 54.572239) (xy 72.569375 54.870625) (xy 73.060582 55.198839) (xy 73.493744 55.285) (xy 73.786256 55.285) + (xy 74.219418 55.198839) (xy 74.710625 54.870625) (xy 74.91 54.572239) (xy 75.109375 54.870625) (xy 75.600582 55.198839) + (xy 76.033744 55.285) (xy 76.326256 55.285) (xy 76.759418 55.198839) (xy 77.250625 54.870625) (xy 77.45 54.572239) + (xy 77.649375 54.870625) (xy 78.140582 55.198839) (xy 78.573744 55.285) (xy 78.866256 55.285) (xy 79.006898 55.257025) + (xy 79.122569 55.53628) (xy 79.41372 55.827431) (xy 79.794126 55.985) (xy 80.205874 55.985) (xy 80.58628 55.827431) + (xy 80.877431 55.53628) (xy 80.99158 55.2607) (xy 81.113744 55.285) (xy 81.406256 55.285) (xy 81.839418 55.198839) + (xy 82.330625 54.870625) (xy 82.53 54.572239) (xy 82.729375 54.870625) (xy 83.220582 55.198839) (xy 83.653744 55.285) + (xy 83.946256 55.285) (xy 84.165 55.241489) (xy 84.165 55.405874) (xy 84.322569 55.78628) (xy 84.61372 56.077431) + (xy 84.994126 56.235) (xy 85.405874 56.235) (xy 85.78628 56.077431) (xy 86.077431 55.78628) (xy 86.235 55.405874) + (xy 86.235 55.285) (xy 86.486256 55.285) (xy 86.919418 55.198839) (xy 87.410625 54.870625) (xy 87.623843 54.551522) + (xy 87.684817 54.681358) (xy 88.113076 55.071645) (xy 88.52311 55.241476) (xy 88.753 55.120155) (xy 88.753 53.927) + (xy 88.733 53.927) (xy 88.733 53.673) (xy 88.753 53.673) (xy 88.753 53.653) (xy 89.007 53.653) + (xy 89.007 53.673) (xy 89.027 53.673) (xy 89.027 53.927) (xy 89.007 53.927) (xy 89.007 55.120155) + (xy 89.23689 55.241476) (xy 89.646924 55.071645) (xy 90.075183 54.681358) (xy 90.136157 54.551522) (xy 90.349375 54.870625) + (xy 90.840582 55.198839) (xy 91.273744 55.285) (xy 91.566256 55.285) (xy 91.999418 55.198839) (xy 92.490625 54.870625) + (xy 92.69 54.572239) (xy 92.889375 54.870625) (xy 93.380582 55.198839) (xy 93.813744 55.285) (xy 94.106256 55.285) + (xy 94.539418 55.198839) (xy 95.030625 54.870625) (xy 95.23 54.572239) (xy 95.429375 54.870625) (xy 95.920582 55.198839) + (xy 96.353744 55.285) (xy 96.646256 55.285) (xy 97.079418 55.198839) (xy 97.570625 54.870625) (xy 97.77 54.572239) + (xy 97.969375 54.870625) (xy 98.460582 55.198839) (xy 98.893744 55.285) (xy 99.186256 55.285) (xy 99.619418 55.198839) + (xy 100.110625 54.870625) (xy 100.31 54.572239) (xy 100.509375 54.870625) (xy 101.000582 55.198839) (xy 101.433744 55.285) + (xy 101.726256 55.285) (xy 102.159418 55.198839) (xy 102.650625 54.870625) (xy 102.85 54.572239) (xy 103.049375 54.870625) + (xy 103.540582 55.198839) (xy 103.973744 55.285) (xy 104.266256 55.285) (xy 104.699418 55.198839) (xy 105.190625 54.870625) + (xy 105.403843 54.551522) (xy 105.464817 54.681358) (xy 105.893076 55.071645) (xy 106.30311 55.241476) (xy 106.533 55.120155) + (xy 106.533 53.927) (xy 106.787 53.927) (xy 106.787 55.120155) (xy 107.01689 55.241476) (xy 107.426924 55.071645) + (xy 107.855183 54.681358) (xy 108.101486 54.156892) (xy 107.980819 53.927) (xy 106.787 53.927) (xy 106.533 53.927) + (xy 106.513 53.927) (xy 106.513 53.673) (xy 106.533 53.673) (xy 106.533 53.653) (xy 106.787 53.653) + (xy 106.787 53.673) (xy 107.980819 53.673) (xy 108.101486 53.443108) (xy 107.855183 52.918642) (xy 107.430214 52.531353) + (xy 107.730625 52.330625) (xy 108.058839 51.839418) (xy 108.174092 51.26) (xy 108.058839 50.680582) (xy 107.730625 50.189375) + (xy 107.239418 49.861161) (xy 106.806256 49.775) (xy 106.513744 49.775) (xy 106.080582 49.861161) (xy 105.589375 50.189375) + (xy 105.39 50.487761) (xy 105.190625 50.189375) (xy 104.699418 49.861161) (xy 104.266256 49.775) (xy 103.973744 49.775) + (xy 103.540582 49.861161) (xy 103.049375 50.189375) (xy 102.85 50.487761) (xy 102.650625 50.189375) (xy 102.159418 49.861161) + (xy 101.726256 49.775) (xy 101.433744 49.775) (xy 101.000582 49.861161) (xy 100.509375 50.189375) (xy 100.296157 50.508478) + (xy 100.235183 50.378642) (xy 99.806924 49.988355) (xy 99.39689 49.818524) (xy 99.167 49.939845) (xy 99.167 51.133) + (xy 99.187 51.133) (xy 99.187 51.387) (xy 99.167 51.387) (xy 99.167 51.407) (xy 98.913 51.407) + (xy 98.913 51.387) (xy 98.893 51.387) (xy 98.893 51.133) (xy 98.913 51.133) (xy 98.913 49.939845) + (xy 98.68311 49.818524) (xy 98.273076 49.988355) (xy 97.844817 50.378642) (xy 97.783843 50.508478) (xy 97.570625 50.189375) + (xy 97.079418 49.861161) (xy 96.646256 49.775) (xy 96.353744 49.775) (xy 95.920582 49.861161) (xy 95.429375 50.189375) + (xy 95.216157 50.508478) (xy 95.155183 50.378642) (xy 94.726924 49.988355) (xy 94.31689 49.818524) (xy 94.087 49.939845) + (xy 94.087 51.133) (xy 94.107 51.133) (xy 94.107 51.387) (xy 94.087 51.387) (xy 94.087 51.407) + (xy 93.833 51.407) (xy 93.833 51.387) (xy 93.813 51.387) (xy 93.813 51.133) (xy 93.833 51.133) + (xy 93.833 49.939845) (xy 93.60311 49.818524) (xy 93.193076 49.988355) (xy 92.764817 50.378642) (xy 92.703843 50.508478) + (xy 92.490625 50.189375) (xy 91.999418 49.861161) (xy 91.566256 49.775) (xy 91.273744 49.775) (xy 90.840582 49.861161) + (xy 90.349375 50.189375) (xy 90.15 50.487761) (xy 89.950625 50.189375) (xy 89.459418 49.861161) (xy 89.026256 49.775) + (xy 88.733744 49.775) (xy 88.300582 49.861161) (xy 87.809375 50.189375) (xy 87.61 50.487761) (xy 87.410625 50.189375) + (xy 86.919418 49.861161) (xy 86.486256 49.775) (xy 86.193744 49.775) (xy 85.760582 49.861161) (xy 85.269375 50.189375) + (xy 85.07 50.487761) (xy 84.870625 50.189375) (xy 84.379418 49.861161) (xy 83.946256 49.775) (xy 83.653744 49.775) + (xy 83.220582 49.861161) (xy 82.729375 50.189375) (xy 82.516157 50.508478) (xy 82.455183 50.378642) (xy 82.026924 49.988355) + (xy 81.61689 49.818524) (xy 81.387 49.939845) (xy 81.387 51.133) (xy 81.407 51.133) (xy 81.407 51.387) + (xy 81.387 51.387) (xy 81.387 51.407) (xy 81.133 51.407) (xy 81.133 51.387) (xy 81.113 51.387) + (xy 81.113 51.133) (xy 81.133 51.133) (xy 81.133 49.939845) (xy 80.90311 49.818524) (xy 80.493076 49.988355) + (xy 80.064817 50.378642) (xy 80.003843 50.508478) (xy 79.790625 50.189375) (xy 79.299418 49.861161) (xy 78.866256 49.775) + (xy 78.573744 49.775) (xy 78.140582 49.861161) (xy 77.649375 50.189375) (xy 77.45 50.487761) (xy 77.250625 50.189375) + (xy 76.759418 49.861161) (xy 76.326256 49.775) (xy 76.033744 49.775) (xy 75.600582 49.861161) (xy 75.109375 50.189375) + (xy 74.896157 50.508478) (xy 74.835183 50.378642) (xy 74.406924 49.988355) (xy 73.99689 49.818524) (xy 73.767 49.939845) + (xy 73.767 51.133) (xy 73.787 51.133) (xy 73.787 51.387) (xy 73.767 51.387) (xy 73.767 51.407) + (xy 73.513 51.407) (xy 73.513 51.387) (xy 73.493 51.387) (xy 73.493 51.133) (xy 73.513 51.133) + (xy 73.513 49.939845) (xy 73.28311 49.818524) (xy 72.873076 49.988355) (xy 72.444817 50.378642) (xy 72.383843 50.508478) + (xy 72.170625 50.189375) (xy 71.679418 49.861161) (xy 71.246256 49.775) (xy 70.953744 49.775) (xy 70.520582 49.861161) + (xy 70.029375 50.189375) (xy 69.83 50.487761) (xy 69.630625 50.189375) (xy 69.139418 49.861161) (xy 68.706256 49.775) + (xy 68.413744 49.775) (xy 67.980582 49.861161) (xy 67.489375 50.189375) (xy 67.29 50.487761) (xy 67.090625 50.189375) + (xy 66.599418 49.861161) (xy 66.166256 49.775) (xy 65.873744 49.775) (xy 65.440582 49.861161) (xy 64.949375 50.189375) + (xy 64.736157 50.508478) (xy 64.675183 50.378642) (xy 64.246924 49.988355) (xy 63.83689 49.818524) (xy 63.607 49.939845) + (xy 63.607 51.133) (xy 63.627 51.133) (xy 63.627 51.387) (xy 63.607 51.387) (xy 63.607 51.407) + (xy 63.353 51.407) (xy 63.353 51.387) (xy 63.333 51.387) (xy 63.333 51.133) (xy 63.353 51.133) + (xy 63.353 49.939845) (xy 63.12311 49.818524) (xy 62.713076 49.988355) (xy 62.284817 50.378642) (xy 62.223843 50.508478) + (xy 62.010625 50.189375) (xy 61.519418 49.861161) (xy 61.086256 49.775) (xy 60.793744 49.775) (xy 60.360582 49.861161) + (xy 59.869375 50.189375) (xy 59.67 50.487761) (xy 59.470625 50.189375) (xy 58.979418 49.861161) (xy 58.546256 49.775) + (xy 58.253744 49.775) (xy 57.820582 49.861161) (xy 57.329375 50.189375) (xy 57.001161 50.680582) (xy 56.885908 51.26) + (xy 57.001161 51.839418) (xy 57.329375 52.330625) (xy 57.347619 52.342816) (xy 57.302235 52.351843) (xy 57.092191 52.492191) + (xy 56.951843 52.702235) (xy 56.90256 52.95) (xy 56.90256 54.65) (xy 56.951843 54.897765) (xy 56.996768 54.965) + (xy 56.944126 54.965) (xy 56.56372 55.122569) (xy 56.525 55.161289) (xy 56.48628 55.122569) (xy 56.105874 54.965) + (xy 55.694126 54.965) (xy 55.531169 55.032499) (xy 56.235719 54.327949) (xy 56.727 53.14189) (xy 56.727 51.85811) + (xy 56.235719 50.672051) (xy 55.327949 49.764281) (xy 55.076192 49.66) (xy 109.923808 49.66) + ) + ) ) - (zone (net 97) (net_name +3V3) (layer In2.Cu) (tstamp 5E48943A) (hatch edge 0.508) + (zone (net 97) (net_name +3V3) (layer In2.Cu) (tstamp 5E379139) (hatch edge 0.508) (connect_pads (clearance 0.508)) (min_thickness 0.254) - (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts (xy 49.05 47.55) (xy 116.3 47.5) (xy 116.3 80.25) (xy 49 80.2) ) ) + (filled_polygon + (pts + (xy 109.672051 49.764281) (xy 108.764281 50.672051) (xy 108.273 51.85811) (xy 108.273 53.14189) (xy 108.764281 54.327949) + (xy 109.672051 55.235719) (xy 110.85811 55.727) (xy 112.14189 55.727) (xy 113.327949 55.235719) (xy 114.235719 54.327949) + (xy 114.34 54.076192) (xy 114.340001 73.92381) (xy 114.235719 73.672051) (xy 113.327949 72.764281) (xy 112.14189 72.273) + (xy 110.85811 72.273) (xy 109.672051 72.764281) (xy 108.764281 73.672051) (xy 108.273 74.85811) (xy 108.273 76.14189) + (xy 108.764281 77.327949) (xy 109.672051 78.235719) (xy 109.923808 78.34) (xy 59.585 78.34) (xy 59.585 77.994126) + (xy 59.427431 77.61372) (xy 59.13628 77.322569) (xy 58.755874 77.165) (xy 58.344126 77.165) (xy 57.96372 77.322569) + (xy 57.672569 77.61372) (xy 57.515 77.994126) (xy 57.515 78.34) (xy 55.076192 78.34) (xy 55.327949 78.235719) + (xy 56.235719 77.327949) (xy 56.727 76.14189) (xy 56.727 75.23326) (xy 64.721961 75.23326) (xy 64.721961 75.645008) + (xy 64.87953 76.025414) (xy 65.170681 76.316565) (xy 65.551087 76.474134) (xy 65.962835 76.474134) (xy 66.343241 76.316565) + (xy 66.634392 76.025414) (xy 66.791961 75.645008) (xy 66.791961 75.23326) (xy 66.634392 74.852854) (xy 66.343241 74.561703) + (xy 65.962835 74.404134) (xy 65.551087 74.404134) (xy 65.170681 74.561703) (xy 64.87953 74.852854) (xy 64.721961 75.23326) + (xy 56.727 75.23326) (xy 56.727 74.85811) (xy 56.235719 73.672051) (xy 55.327949 72.764281) (xy 54.14189 72.273) + (xy 52.85811 72.273) (xy 51.672051 72.764281) (xy 50.764281 73.672051) (xy 50.66 73.923808) (xy 50.66 69.794126) + (xy 62.015 69.794126) (xy 62.015 70.205874) (xy 62.172569 70.58628) (xy 62.46372 70.877431) (xy 62.844126 71.035) + (xy 63.255874 71.035) (xy 63.63628 70.877431) (xy 63.927431 70.58628) (xy 64.085 70.205874) (xy 64.085 69.794126) + (xy 63.927431 69.41372) (xy 63.63628 69.122569) (xy 63.255874 68.965) (xy 62.844126 68.965) (xy 62.46372 69.122569) + (xy 62.172569 69.41372) (xy 62.015 69.794126) (xy 50.66 69.794126) (xy 50.66 67.894126) (xy 51.215 67.894126) + (xy 51.215 68.305874) (xy 51.372569 68.68628) (xy 51.66372 68.977431) (xy 52.044126 69.135) (xy 52.455874 69.135) + (xy 52.773491 69.003439) (xy 53.117582 69.145966) (xy 53.52933 69.145966) (xy 53.909736 68.988397) (xy 53.910078 68.988055) + (xy 54.144126 69.085) (xy 54.555874 69.085) (xy 54.93628 68.927431) (xy 55.227431 68.63628) (xy 55.265602 68.544126) + (xy 55.565 68.544126) (xy 55.565 68.955874) (xy 55.722569 69.33628) (xy 56.01372 69.627431) (xy 56.394126 69.785) + (xy 56.805874 69.785) (xy 57.18628 69.627431) (xy 57.477431 69.33628) (xy 57.635 68.955874) (xy 57.635 68.544126) + (xy 57.477431 68.16372) (xy 57.18628 67.872569) (xy 56.805874 67.715) (xy 56.394126 67.715) (xy 56.01372 67.872569) + (xy 55.722569 68.16372) (xy 55.565 68.544126) (xy 55.265602 68.544126) (xy 55.385 68.255874) (xy 55.385 67.844126) + (xy 55.227431 67.46372) (xy 54.93628 67.172569) (xy 54.555874 67.015) (xy 54.144126 67.015) (xy 53.76372 67.172569) + (xy 53.763378 67.172911) (xy 53.52933 67.075966) (xy 53.117582 67.075966) (xy 52.799965 67.207527) (xy 52.455874 67.065) + (xy 52.044126 67.065) (xy 51.66372 67.222569) (xy 51.372569 67.51372) (xy 51.215 67.894126) (xy 50.66 67.894126) + (xy 50.66 65.844126) (xy 54.165 65.844126) (xy 54.165 66.255874) (xy 54.322569 66.63628) (xy 54.61372 66.927431) + (xy 54.994126 67.085) (xy 55.405874 67.085) (xy 55.78628 66.927431) (xy 56.077431 66.63628) (xy 56.235 66.255874) + (xy 56.235 65.844126) (xy 62.015 65.844126) (xy 62.015 66.255874) (xy 62.172569 66.63628) (xy 62.46372 66.927431) + (xy 62.844126 67.085) (xy 63.255874 67.085) (xy 63.63628 66.927431) (xy 63.927431 66.63628) (xy 64.085 66.255874) + (xy 64.085 66.098711) (xy 64.36372 66.377431) (xy 64.604941 66.477348) (xy 64.748295 66.823435) (xy 65.039446 67.114586) + (xy 65.419852 67.272155) (xy 65.8316 67.272155) (xy 66.212006 67.114586) (xy 66.503157 66.823435) (xy 66.660726 66.443029) + (xy 66.660726 66.031281) (xy 66.503157 65.650875) (xy 66.212006 65.359724) (xy 65.970785 65.259807) (xy 65.827431 64.91372) + (xy 65.53628 64.622569) (xy 65.155874 64.465) (xy 64.744126 64.465) (xy 64.36372 64.622569) (xy 64.072569 64.91372) + (xy 63.915 65.294126) (xy 63.915 65.451289) (xy 63.63628 65.172569) (xy 63.255874 65.015) (xy 62.844126 65.015) + (xy 62.46372 65.172569) (xy 62.172569 65.46372) (xy 62.015 65.844126) (xy 56.235 65.844126) (xy 56.077431 65.46372) + (xy 55.78628 65.172569) (xy 55.405874 65.015) (xy 54.994126 65.015) (xy 54.61372 65.172569) (xy 54.322569 65.46372) + (xy 54.165 65.844126) (xy 50.66 65.844126) (xy 50.66 60.544126) (xy 51.115 60.544126) (xy 51.115 60.955874) + (xy 51.272569 61.33628) (xy 51.56372 61.627431) (xy 51.738917 61.7) (xy 51.56372 61.772569) (xy 51.272569 62.06372) + (xy 51.115 62.444126) (xy 51.115 62.855874) (xy 51.272569 63.23628) (xy 51.56372 63.527431) (xy 51.944126 63.685) + (xy 52.355874 63.685) (xy 52.73628 63.527431) (xy 53.027431 63.23628) (xy 53.185 62.855874) (xy 53.185 62.444126) + (xy 53.143579 62.344126) (xy 55.615 62.344126) (xy 55.615 62.755874) (xy 55.772569 63.13628) (xy 56.06372 63.427431) + (xy 56.444126 63.585) (xy 56.855874 63.585) (xy 57.23628 63.427431) (xy 57.527431 63.13628) (xy 57.685 62.755874) + (xy 57.685 62.344126) (xy 57.527431 61.96372) (xy 57.23628 61.672569) (xy 56.855874 61.515) (xy 56.444126 61.515) + (xy 56.06372 61.672569) (xy 55.772569 61.96372) (xy 55.615 62.344126) (xy 53.143579 62.344126) (xy 53.027431 62.06372) + (xy 52.73628 61.772569) (xy 52.561083 61.7) (xy 52.73628 61.627431) (xy 53.027431 61.33628) (xy 53.185 60.955874) + (xy 53.185 60.544126) (xy 53.16429 60.494126) (xy 60.265 60.494126) (xy 60.265 60.905874) (xy 60.422569 61.28628) + (xy 60.71372 61.577431) (xy 60.965 61.681514) (xy 60.965 61.805874) (xy 61.122569 62.18628) (xy 61.41372 62.477431) + (xy 61.794126 62.635) (xy 62.205874 62.635) (xy 62.525 62.502814) (xy 62.844126 62.635) (xy 63.255874 62.635) + (xy 63.6 62.492459) (xy 63.944126 62.635) (xy 64.355874 62.635) (xy 64.565 62.548377) (xy 64.565 62.755874) + (xy 64.722569 63.13628) (xy 65.01372 63.427431) (xy 65.394126 63.585) (xy 65.805874 63.585) (xy 66.18628 63.427431) + (xy 66.477431 63.13628) (xy 66.635 62.755874) (xy 66.635 62.344126) (xy 66.477431 61.96372) (xy 66.31584 61.802129) + (xy 66.335 61.755874) (xy 66.335 61.344126) (xy 66.177431 60.96372) (xy 66.063262 60.849551) (xy 68.840557 60.863182) + (xy 68.960726 60.983351) (xy 69.341132 61.14092) (xy 69.75288 61.14092) (xy 69.858908 61.097002) (xy 69.858188 61.328091) + (xy 69.705874 61.265) (xy 69.294126 61.265) (xy 68.91372 61.422569) (xy 68.622569 61.71372) (xy 68.465 62.094126) + (xy 68.465 62.505874) (xy 68.622569 62.88628) (xy 68.91372 63.177431) (xy 69.294126 63.335) (xy 69.705874 63.335) + (xy 69.852125 63.274421) (xy 69.850684 63.736973) (xy 69.83628 63.722569) (xy 69.455874 63.565) (xy 69.044126 63.565) + (xy 68.66372 63.722569) (xy 68.372569 64.01372) (xy 68.215 64.394126) (xy 68.215 64.805874) (xy 68.372569 65.18628) + (xy 68.66372 65.477431) (xy 69.044126 65.635) (xy 69.455874 65.635) (xy 69.83628 65.477431) (xy 69.84529 65.468421) + (xy 69.820826 73.321193) (xy 69.805874 73.315) (xy 69.394126 73.315) (xy 69.01372 73.472569) (xy 68.722569 73.76372) + (xy 68.565 74.144126) (xy 68.565 74.555874) (xy 68.722569 74.93628) (xy 69.01372 75.227431) (xy 69.394126 75.385) + (xy 69.805874 75.385) (xy 69.849514 75.366924) (xy 69.862558 75.433602) (xy 69.999546 75.640053) (xy 70.205111 75.778365) + (xy 70.447958 75.827483) (xy 79.465 75.856477) (xy 79.465 76.155874) (xy 79.622569 76.53628) (xy 79.91372 76.827431) + (xy 80.294126 76.985) (xy 80.705874 76.985) (xy 81.08628 76.827431) (xy 81.377431 76.53628) (xy 81.535 76.155874) + (xy 81.535 75.863133) (xy 84.514616 75.872713) (xy 84.590925 76.05694) (xy 84.882076 76.348091) (xy 85.262482 76.50566) + (xy 85.67423 76.50566) (xy 86.054636 76.348091) (xy 86.345787 76.05694) (xy 86.503356 75.676534) (xy 86.503356 75.610028) + (xy 86.585904 75.487316) (xy 86.634997 75.244464) (xy 86.636765 74.676946) (xy 86.877431 74.43628) (xy 87.035 74.055874) + (xy 87.035 73.644126) (xy 86.877431 73.26372) (xy 86.641901 73.02819) (xy 86.64693 71.413674) (xy 91.432205 71.413674) + (xy 91.432205 71.825422) (xy 91.589774 72.205828) (xy 91.880925 72.496979) (xy 92.219386 72.637174) (xy 92.322569 72.88628) + (xy 92.61372 73.177431) (xy 92.994126 73.335) (xy 93.405874 73.335) (xy 93.78628 73.177431) (xy 94.077431 72.88628) + (xy 94.235 72.505874) (xy 94.235 72.094126) (xy 94.077431 71.71372) (xy 93.78628 71.422569) (xy 93.447819 71.282374) + (xy 93.344636 71.033268) (xy 93.053485 70.742117) (xy 92.673079 70.584548) (xy 92.261331 70.584548) (xy 91.880925 70.742117) + (xy 91.589774 71.033268) (xy 91.432205 71.413674) (xy 86.64693 71.413674) (xy 86.650135 70.385) (xy 87.005874 70.385) + (xy 87.38628 70.227431) (xy 87.677431 69.93628) (xy 87.819155 69.594126) (xy 95.615 69.594126) (xy 95.615 70.005874) + (xy 95.772569 70.38628) (xy 96.06372 70.677431) (xy 96.444126 70.835) (xy 96.855874 70.835) (xy 97.23628 70.677431) + (xy 97.527431 70.38628) (xy 97.685 70.005874) (xy 97.685 69.594126) (xy 97.527431 69.21372) (xy 97.23628 68.922569) + (xy 96.855874 68.765) (xy 96.444126 68.765) (xy 96.06372 68.922569) (xy 95.772569 69.21372) (xy 95.615 69.594126) + (xy 87.819155 69.594126) (xy 87.835 69.555874) (xy 87.835 69.144126) (xy 87.677431 68.76372) (xy 87.38628 68.472569) + (xy 87.005874 68.315) (xy 86.656584 68.315) (xy 86.659452 67.394126) (xy 97.615 67.394126) (xy 97.615 67.805874) + (xy 97.772569 68.18628) (xy 98.06372 68.477431) (xy 98.444126 68.635) (xy 98.855874 68.635) (xy 99.23628 68.477431) + (xy 99.425 68.288711) (xy 99.51372 68.377431) (xy 99.894126 68.535) (xy 100.305874 68.535) (xy 100.68628 68.377431) + (xy 100.977431 68.08628) (xy 101.135 67.705874) (xy 101.135 67.404158) (xy 101.272569 67.73628) (xy 101.56372 68.027431) + (xy 101.944126 68.185) (xy 102.355874 68.185) (xy 102.73628 68.027431) (xy 103.027431 67.73628) (xy 103.185 67.355874) + (xy 103.185 66.944126) (xy 103.139799 66.835) (xy 103.355874 66.835) (xy 103.73628 66.677431) (xy 104.027431 66.38628) + (xy 104.185 66.005874) (xy 104.185 65.594126) (xy 104.027431 65.21372) (xy 103.73628 64.922569) (xy 103.355874 64.765) + (xy 102.944126 64.765) (xy 102.56372 64.922569) (xy 102.272569 65.21372) (xy 102.115 65.594126) (xy 102.115 66.005874) + (xy 102.160201 66.115) (xy 101.944126 66.115) (xy 101.56372 66.272569) (xy 101.272569 66.56372) (xy 101.115 66.944126) + (xy 101.115 67.245842) (xy 100.977431 66.91372) (xy 100.68628 66.622569) (xy 100.305874 66.465) (xy 99.894126 66.465) + (xy 99.51372 66.622569) (xy 99.325 66.811289) (xy 99.23628 66.722569) (xy 98.855874 66.565) (xy 98.444126 66.565) + (xy 98.06372 66.722569) (xy 97.772569 67.01372) (xy 97.615 67.394126) (xy 86.659452 67.394126) (xy 86.674405 62.594126) + (xy 90.015 62.594126) (xy 90.015 63.005874) (xy 90.136831 63.300001) (xy 90.015 63.594129) (xy 90.015 64.005877) + (xy 90.172569 64.386283) (xy 90.46372 64.677434) (xy 90.804447 64.818567) (xy 90.61372 64.897569) (xy 90.322569 65.18872) + (xy 90.165 65.569126) (xy 90.165 65.980874) (xy 90.322569 66.36128) (xy 90.61372 66.652431) (xy 90.994126 66.81) + (xy 91.405874 66.81) (xy 91.78628 66.652431) (xy 92.077431 66.36128) (xy 92.235 65.980874) (xy 92.235 65.569126) + (xy 92.121029 65.293973) (xy 98.139847 65.293973) (xy 98.139847 65.705721) (xy 98.297416 66.086127) (xy 98.588567 66.377278) + (xy 98.968973 66.534847) (xy 99.380721 66.534847) (xy 99.761127 66.377278) (xy 100.052278 66.086127) (xy 100.209847 65.705721) + (xy 100.209847 65.293973) (xy 100.052278 64.913567) (xy 99.761127 64.622416) (xy 99.380721 64.464847) (xy 98.968973 64.464847) + (xy 98.588567 64.622416) (xy 98.297416 64.913567) (xy 98.139847 65.293973) (xy 92.121029 65.293973) (xy 92.077431 65.18872) + (xy 91.78628 64.897569) (xy 91.445553 64.756436) (xy 91.63628 64.677434) (xy 91.927431 64.386283) (xy 92.085 64.005877) + (xy 92.085 63.594129) (xy 91.963169 63.300002) (xy 92.085 63.005874) (xy 92.085 62.594126) (xy 91.927431 62.21372) + (xy 91.63628 61.922569) (xy 91.255874 61.765) (xy 90.844126 61.765) (xy 90.46372 61.922569) (xy 90.172569 62.21372) + (xy 90.015 62.594126) (xy 86.674405 62.594126) (xy 86.674434 62.585) (xy 86.905874 62.585) (xy 87.28628 62.427431) + (xy 87.577431 62.13628) (xy 87.735 61.755874) (xy 87.735 61.344126) (xy 87.577431 60.96372) (xy 87.28628 60.672569) + (xy 86.905874 60.515) (xy 86.680883 60.515) (xy 86.68168 60.259176) (xy 86.685 60.242486) (xy 86.685 59.194126) + (xy 102.715 59.194126) (xy 102.715 59.605874) (xy 102.872569 59.98628) (xy 103.16372 60.277431) (xy 103.544126 60.435) + (xy 103.955874 60.435) (xy 104.33628 60.277431) (xy 104.423711 60.19) (xy 105.245908 60.19) (xy 105.361161 60.769418) + (xy 105.689375 61.260625) (xy 105.987761 61.46) (xy 105.689375 61.659375) (xy 105.361161 62.150582) (xy 105.245908 62.73) + (xy 105.361161 63.309418) (xy 105.689375 63.800625) (xy 105.987761 64) (xy 105.689375 64.199375) (xy 105.361161 64.690582) + (xy 105.245908 65.27) (xy 105.361161 65.849418) (xy 105.689375 66.340625) (xy 105.987761 66.54) (xy 105.689375 66.739375) + (xy 105.361161 67.230582) (xy 105.245908 67.81) (xy 105.361161 68.389418) (xy 105.689375 68.880625) (xy 105.987761 69.08) + (xy 105.689375 69.279375) (xy 105.361161 69.770582) (xy 105.245908 70.35) (xy 105.361161 70.929418) (xy 105.689375 71.420625) + (xy 106.180582 71.748839) (xy 106.613744 71.835) (xy 106.906256 71.835) (xy 107.339418 71.748839) (xy 107.830625 71.420625) + (xy 107.842816 71.402381) (xy 107.851843 71.447765) (xy 107.992191 71.657809) (xy 108.202235 71.798157) (xy 108.45 71.84744) + (xy 110.15 71.84744) (xy 110.397765 71.798157) (xy 110.607809 71.657809) (xy 110.748157 71.447765) (xy 110.79744 71.2) + (xy 110.79744 69.5) (xy 110.748157 69.252235) (xy 110.607809 69.042191) (xy 110.397765 68.901843) (xy 110.352381 68.892816) + (xy 110.370625 68.880625) (xy 110.698839 68.389418) (xy 110.703297 68.367008) (xy 110.81372 68.477431) (xy 111.194126 68.635) + (xy 111.605874 68.635) (xy 111.98628 68.477431) (xy 112.277431 68.18628) (xy 112.435 67.805874) (xy 112.435 67.394126) + (xy 112.277431 67.01372) (xy 111.98628 66.722569) (xy 111.605874 66.565) (xy 111.194126 66.565) (xy 110.81372 66.722569) + (xy 110.541372 66.994917) (xy 110.370625 66.739375) (xy 110.072239 66.54) (xy 110.370625 66.340625) (xy 110.698839 65.849418) + (xy 110.814092 65.27) (xy 110.698839 64.690582) (xy 110.370625 64.199375) (xy 110.072239 64) (xy 110.370625 63.800625) + (xy 110.698839 63.309418) (xy 110.814092 62.73) (xy 110.751471 62.415182) (xy 110.91372 62.577431) (xy 111.074449 62.644007) + (xy 110.901305 62.817151) (xy 110.743736 63.197557) (xy 110.743736 63.609305) (xy 110.901305 63.989711) (xy 111.192456 64.280862) + (xy 111.572862 64.438431) (xy 111.98461 64.438431) (xy 112.365016 64.280862) (xy 112.656167 63.989711) (xy 112.813736 63.609305) + (xy 112.813736 63.197557) (xy 112.656167 62.817151) (xy 112.365016 62.526) (xy 112.204287 62.459424) (xy 112.377431 62.28628) + (xy 112.535 61.905874) (xy 112.535 61.494126) (xy 112.377431 61.11372) (xy 112.263328 60.999617) (xy 112.385 60.705874) + (xy 112.385 60.294126) (xy 112.227431 59.91372) (xy 111.93628 59.622569) (xy 111.555874 59.465) (xy 111.144126 59.465) + (xy 110.76372 59.622569) (xy 110.711592 59.674697) (xy 110.698839 59.610582) (xy 110.370625 59.119375) (xy 110.051522 58.906157) + (xy 110.181358 58.845183) (xy 110.571645 58.416924) (xy 110.741476 58.00689) (xy 110.620155 57.777) (xy 109.427 57.777) + (xy 109.427 57.797) (xy 109.173 57.797) (xy 109.173 57.777) (xy 106.887 57.777) (xy 106.887 57.797) + (xy 106.633 57.797) (xy 106.633 57.777) (xy 105.439845 57.777) (xy 105.318524 58.00689) (xy 105.488355 58.416924) + (xy 105.878642 58.845183) (xy 106.008478 58.906157) (xy 105.689375 59.119375) (xy 105.361161 59.610582) (xy 105.245908 60.19) + (xy 104.423711 60.19) (xy 104.627431 59.98628) (xy 104.785 59.605874) (xy 104.785 59.194126) (xy 104.627431 58.81372) + (xy 104.33628 58.522569) (xy 103.955874 58.365) (xy 103.544126 58.365) (xy 103.16372 58.522569) (xy 102.872569 58.81372) + (xy 102.715 59.194126) (xy 86.685 59.194126) (xy 86.685 59.192486) (xy 86.637442 58.95137) (xy 86.500454 58.744919) + (xy 86.294889 58.606607) (xy 86.052042 58.557489) (xy 85.323507 58.555146) (xy 85.105874 58.465) (xy 84.694126 58.465) + (xy 84.483017 58.552444) (xy 81.985 58.544412) (xy 81.985 58.294126) (xy 81.827431 57.91372) (xy 81.53628 57.622569) + (xy 81.346902 57.544126) (xy 86.815 57.544126) (xy 86.815 57.955874) (xy 86.972569 58.33628) (xy 87.26372 58.627431) + (xy 87.644126 58.785) (xy 88.055874 58.785) (xy 88.43628 58.627431) (xy 88.727431 58.33628) (xy 88.885 57.955874) + (xy 88.885 57.544126) (xy 88.727431 57.16372) (xy 88.43628 56.872569) (xy 88.055874 56.715) (xy 87.644126 56.715) + (xy 87.26372 56.872569) (xy 86.972569 57.16372) (xy 86.815 57.544126) (xy 81.346902 57.544126) (xy 81.155874 57.465) + (xy 80.744126 57.465) (xy 80.36372 57.622569) (xy 80.072569 57.91372) (xy 79.915 58.294126) (xy 79.915 58.537756) + (xy 76.605491 58.527114) (xy 76.635 58.455874) (xy 76.635 58.044126) (xy 76.477431 57.66372) (xy 76.18628 57.372569) + (xy 75.805874 57.215) (xy 75.394126 57.215) (xy 75.01372 57.372569) (xy 74.722569 57.66372) (xy 74.565 58.044126) + (xy 74.565 58.455874) (xy 74.591827 58.520639) (xy 73.876344 58.518339) (xy 73.847272 57.432997) (xy 73.800343 57.209864) + (xy 73.6637 57.003186) (xy 73.458366 56.86453) (xy 73.215601 56.815008) (xy 62.978101 56.765008) (xy 62.706074 56.824758) + (xy 62.506409 56.971459) (xy 62.378083 57.183402) (xy 62.340632 57.42832) (xy 62.465632 60.22832) (xy 62.512151 60.440122) + (xy 62.648326 60.646103) (xy 62.525 60.697186) (xy 62.335 60.618486) (xy 62.335 60.494126) (xy 62.177431 60.11372) + (xy 61.88628 59.822569) (xy 61.505874 59.665) (xy 61.094126 59.665) (xy 60.71372 59.822569) (xy 60.422569 60.11372) + (xy 60.265 60.494126) (xy 53.16429 60.494126) (xy 53.027431 60.16372) (xy 52.73628 59.872569) (xy 52.355874 59.715) + (xy 51.944126 59.715) (xy 51.56372 59.872569) (xy 51.272569 60.16372) (xy 51.115 60.544126) (xy 50.66 60.544126) + (xy 50.66 54.076192) (xy 50.764281 54.327949) (xy 51.672051 55.235719) (xy 52.85811 55.727) (xy 54.14189 55.727) + (xy 55.1105 55.325789) (xy 55.022569 55.41372) (xy 54.865 55.794126) (xy 54.865 56.205874) (xy 54.955765 56.425) + (xy 54.865 56.644126) (xy 54.865 57.055874) (xy 55.022569 57.43628) (xy 55.31372 57.727431) (xy 55.694126 57.885) + (xy 56.105874 57.885) (xy 56.48628 57.727431) (xy 56.525 57.688711) (xy 56.56372 57.727431) (xy 56.944126 57.885) + (xy 57.355874 57.885) (xy 57.73628 57.727431) (xy 58.027431 57.43628) (xy 58.185 57.055874) (xy 58.185 56.644126) + (xy 58.094235 56.425) (xy 58.185 56.205874) (xy 58.185 55.794126) (xy 58.027431 55.41372) (xy 57.898711 55.285) + (xy 58.11425 55.285) (xy 58.273 55.12625) (xy 58.273 53.927) (xy 57.07375 53.927) (xy 56.915 54.08575) + (xy 56.915 54.776309) (xy 56.993158 54.965) (xy 56.944126 54.965) (xy 56.56372 55.122569) (xy 56.525 55.161289) + (xy 56.48628 55.122569) (xy 56.105874 54.965) (xy 55.694126 54.965) (xy 55.531169 55.032499) (xy 56.235719 54.327949) + (xy 56.727 53.14189) (xy 56.727 51.85811) (xy 56.479256 51.26) (xy 56.885908 51.26) (xy 57.001161 51.839418) + (xy 57.329375 52.330625) (xy 57.351032 52.345096) (xy 57.190301 52.411673) (xy 57.011673 52.590302) (xy 56.915 52.823691) + (xy 56.915 53.51425) (xy 57.07375 53.673) (xy 58.273 53.673) (xy 58.273 53.653) (xy 58.527 53.653) + (xy 58.527 53.673) (xy 58.547 53.673) (xy 58.547 53.927) (xy 58.527 53.927) (xy 58.527 55.12625) + (xy 58.68575 55.285) (xy 59.37631 55.285) (xy 59.609699 55.188327) (xy 59.788327 55.009698) (xy 59.854904 54.848967) + (xy 59.869375 54.870625) (xy 60.360582 55.198839) (xy 60.793744 55.285) (xy 61.086256 55.285) (xy 61.519418 55.198839) + (xy 62.010625 54.870625) (xy 62.21 54.572239) (xy 62.409375 54.870625) (xy 62.900582 55.198839) (xy 63.333744 55.285) + (xy 63.626256 55.285) (xy 64.059418 55.198839) (xy 64.550625 54.870625) (xy 64.75 54.572239) (xy 64.949375 54.870625) + (xy 65.440582 55.198839) (xy 65.873744 55.285) (xy 66.166256 55.285) (xy 66.599418 55.198839) (xy 67.090625 54.870625) + (xy 67.29 54.572239) (xy 67.489375 54.870625) (xy 67.980582 55.198839) (xy 68.413744 55.285) (xy 68.706256 55.285) + (xy 69.139418 55.198839) (xy 69.630625 54.870625) (xy 69.83 54.572239) (xy 70.029375 54.870625) (xy 70.520582 55.198839) + (xy 70.953744 55.285) (xy 71.246256 55.285) (xy 71.359181 55.262538) (xy 71.472569 55.53628) (xy 71.76372 55.827431) + (xy 72.144126 55.985) (xy 72.555874 55.985) (xy 72.93628 55.827431) (xy 73.227431 55.53628) (xy 73.343863 55.255187) + (xy 73.493744 55.285) (xy 73.786256 55.285) (xy 74.219418 55.198839) (xy 74.710625 54.870625) (xy 74.91 54.572239) + (xy 75.109375 54.870625) (xy 75.600582 55.198839) (xy 76.033744 55.285) (xy 76.326256 55.285) (xy 76.759418 55.198839) + (xy 77.250625 54.870625) (xy 77.463843 54.551522) (xy 77.524817 54.681358) (xy 77.953076 55.071645) (xy 78.36311 55.241476) + (xy 78.593 55.120155) (xy 78.593 53.927) (xy 78.573 53.927) (xy 78.573 53.673) (xy 78.593 53.673) + (xy 78.593 53.653) (xy 78.847 53.653) (xy 78.847 53.673) (xy 78.867 53.673) (xy 78.867 53.927) + (xy 78.847 53.927) (xy 78.847 55.120155) (xy 78.979076 55.189856) (xy 79.122569 55.53628) (xy 79.41372 55.827431) + (xy 79.794126 55.985) (xy 80.205874 55.985) (xy 80.58628 55.827431) (xy 80.877431 55.53628) (xy 80.99158 55.2607) + (xy 81.113744 55.285) (xy 81.406256 55.285) (xy 81.839418 55.198839) (xy 82.330625 54.870625) (xy 82.53 54.572239) + (xy 82.729375 54.870625) (xy 83.220582 55.198839) (xy 83.653744 55.285) (xy 83.946256 55.285) (xy 84.165 55.241489) + (xy 84.165 55.405874) (xy 84.322569 55.78628) (xy 84.61372 56.077431) (xy 84.994126 56.235) (xy 85.405874 56.235) + (xy 85.625263 56.144126) (xy 94.215 56.144126) (xy 94.215 56.555874) (xy 94.372569 56.93628) (xy 94.66372 57.227431) + (xy 95.044126 57.385) (xy 95.455874 57.385) (xy 95.75 57.263169) (xy 96.044126 57.385) (xy 96.455874 57.385) + (xy 96.810355 57.238169) (xy 97.044126 57.335) (xy 97.455874 57.335) (xy 97.75 57.213169) (xy 98.044126 57.335) + (xy 98.455874 57.335) (xy 98.557005 57.29311) (xy 105.318524 57.29311) (xy 105.439845 57.523) (xy 106.633 57.523) + (xy 106.633 56.329181) (xy 106.887 56.329181) (xy 106.887 57.523) (xy 109.173 57.523) (xy 109.173 56.329181) + (xy 109.427 56.329181) (xy 109.427 57.523) (xy 110.620155 57.523) (xy 110.741476 57.29311) (xy 110.571645 56.883076) + (xy 110.181358 56.454817) (xy 109.656892 56.208514) (xy 109.427 56.329181) (xy 109.173 56.329181) (xy 108.943108 56.208514) + (xy 108.418642 56.454817) (xy 108.03 56.881271) (xy 107.641358 56.454817) (xy 107.116892 56.208514) (xy 106.887 56.329181) + (xy 106.633 56.329181) (xy 106.403108 56.208514) (xy 105.878642 56.454817) (xy 105.488355 56.883076) (xy 105.318524 57.29311) + (xy 98.557005 57.29311) (xy 98.83628 57.177431) (xy 99.127431 56.88628) (xy 99.285 56.505874) (xy 99.285 56.094126) + (xy 99.127431 55.71372) (xy 98.83628 55.422569) (xy 98.455874 55.265) (xy 98.044126 55.265) (xy 97.75 55.386831) + (xy 97.455874 55.265) (xy 97.044126 55.265) (xy 96.689645 55.411831) (xy 96.455874 55.315) (xy 96.044126 55.315) + (xy 95.75 55.436831) (xy 95.455874 55.315) (xy 95.044126 55.315) (xy 94.66372 55.472569) (xy 94.372569 55.76372) + (xy 94.215 56.144126) (xy 85.625263 56.144126) (xy 85.78628 56.077431) (xy 86.077431 55.78628) (xy 86.235 55.405874) + (xy 86.235 55.285) (xy 86.486256 55.285) (xy 86.919418 55.198839) (xy 87.410625 54.870625) (xy 87.61 54.572239) + (xy 87.809375 54.870625) (xy 88.300582 55.198839) (xy 88.733744 55.285) (xy 89.026256 55.285) (xy 89.459418 55.198839) + (xy 89.950625 54.870625) (xy 90.15 54.572239) (xy 90.349375 54.870625) (xy 90.840582 55.198839) (xy 91.273744 55.285) + (xy 91.566256 55.285) (xy 91.999418 55.198839) (xy 92.490625 54.870625) (xy 92.69 54.572239) (xy 92.889375 54.870625) + (xy 93.380582 55.198839) (xy 93.813744 55.285) (xy 94.106256 55.285) (xy 94.539418 55.198839) (xy 95.030625 54.870625) + (xy 95.23 54.572239) (xy 95.429375 54.870625) (xy 95.920582 55.198839) (xy 96.353744 55.285) (xy 96.646256 55.285) + (xy 97.079418 55.198839) (xy 97.570625 54.870625) (xy 97.77 54.572239) (xy 97.969375 54.870625) (xy 98.460582 55.198839) + (xy 98.893744 55.285) (xy 99.186256 55.285) (xy 99.619418 55.198839) (xy 100.110625 54.870625) (xy 100.31 54.572239) + (xy 100.509375 54.870625) (xy 101.000582 55.198839) (xy 101.433744 55.285) (xy 101.726256 55.285) (xy 102.159418 55.198839) + (xy 102.650625 54.870625) (xy 102.85 54.572239) (xy 103.049375 54.870625) (xy 103.540582 55.198839) (xy 103.973744 55.285) + (xy 104.266256 55.285) (xy 104.699418 55.198839) (xy 105.190625 54.870625) (xy 105.39 54.572239) (xy 105.589375 54.870625) + (xy 106.080582 55.198839) (xy 106.513744 55.285) (xy 106.806256 55.285) (xy 107.239418 55.198839) (xy 107.730625 54.870625) + (xy 108.058839 54.379418) (xy 108.174092 53.8) (xy 108.058839 53.220582) (xy 107.730625 52.729375) (xy 107.432239 52.53) + (xy 107.730625 52.330625) (xy 108.058839 51.839418) (xy 108.174092 51.26) (xy 108.058839 50.680582) (xy 107.730625 50.189375) + (xy 107.239418 49.861161) (xy 106.806256 49.775) (xy 106.513744 49.775) (xy 106.080582 49.861161) (xy 105.589375 50.189375) + (xy 105.39 50.487761) (xy 105.190625 50.189375) (xy 104.699418 49.861161) (xy 104.266256 49.775) (xy 103.973744 49.775) + (xy 103.540582 49.861161) (xy 103.049375 50.189375) (xy 102.85 50.487761) (xy 102.650625 50.189375) (xy 102.159418 49.861161) + (xy 101.726256 49.775) (xy 101.433744 49.775) (xy 101.000582 49.861161) (xy 100.509375 50.189375) (xy 100.31 50.487761) + (xy 100.110625 50.189375) (xy 99.619418 49.861161) (xy 99.186256 49.775) (xy 98.893744 49.775) (xy 98.460582 49.861161) + (xy 97.969375 50.189375) (xy 97.77 50.487761) (xy 97.570625 50.189375) (xy 97.079418 49.861161) (xy 96.646256 49.775) + (xy 96.353744 49.775) (xy 95.920582 49.861161) (xy 95.429375 50.189375) (xy 95.23 50.487761) (xy 95.030625 50.189375) + (xy 94.539418 49.861161) (xy 94.106256 49.775) (xy 93.813744 49.775) (xy 93.380582 49.861161) (xy 92.889375 50.189375) + (xy 92.69 50.487761) (xy 92.490625 50.189375) (xy 91.999418 49.861161) (xy 91.566256 49.775) (xy 91.273744 49.775) + (xy 90.840582 49.861161) (xy 90.349375 50.189375) (xy 90.15 50.487761) (xy 89.950625 50.189375) (xy 89.459418 49.861161) + (xy 89.026256 49.775) (xy 88.733744 49.775) (xy 88.300582 49.861161) (xy 87.809375 50.189375) (xy 87.61 50.487761) + (xy 87.410625 50.189375) (xy 86.919418 49.861161) (xy 86.486256 49.775) (xy 86.193744 49.775) (xy 85.760582 49.861161) + (xy 85.269375 50.189375) (xy 85.07 50.487761) (xy 84.870625 50.189375) (xy 84.379418 49.861161) (xy 83.946256 49.775) + (xy 83.653744 49.775) (xy 83.220582 49.861161) (xy 82.729375 50.189375) (xy 82.53 50.487761) (xy 82.330625 50.189375) + (xy 81.839418 49.861161) (xy 81.406256 49.775) (xy 81.113744 49.775) (xy 80.680582 49.861161) (xy 80.189375 50.189375) + (xy 79.99 50.487761) (xy 79.790625 50.189375) (xy 79.299418 49.861161) (xy 78.866256 49.775) (xy 78.573744 49.775) + (xy 78.140582 49.861161) (xy 77.649375 50.189375) (xy 77.45 50.487761) (xy 77.250625 50.189375) (xy 76.759418 49.861161) + (xy 76.326256 49.775) (xy 76.033744 49.775) (xy 75.600582 49.861161) (xy 75.109375 50.189375) (xy 74.91 50.487761) + (xy 74.710625 50.189375) (xy 74.219418 49.861161) (xy 73.786256 49.775) (xy 73.493744 49.775) (xy 73.060582 49.861161) + (xy 72.569375 50.189375) (xy 72.37 50.487761) (xy 72.170625 50.189375) (xy 71.679418 49.861161) (xy 71.246256 49.775) + (xy 70.953744 49.775) (xy 70.520582 49.861161) (xy 70.029375 50.189375) (xy 69.83 50.487761) (xy 69.630625 50.189375) + (xy 69.139418 49.861161) (xy 68.706256 49.775) (xy 68.413744 49.775) (xy 67.980582 49.861161) (xy 67.489375 50.189375) + (xy 67.29 50.487761) (xy 67.090625 50.189375) (xy 66.599418 49.861161) (xy 66.166256 49.775) (xy 65.873744 49.775) + (xy 65.440582 49.861161) (xy 64.949375 50.189375) (xy 64.75 50.487761) (xy 64.550625 50.189375) (xy 64.059418 49.861161) + (xy 63.626256 49.775) (xy 63.333744 49.775) (xy 62.900582 49.861161) (xy 62.409375 50.189375) (xy 62.21 50.487761) + (xy 62.010625 50.189375) (xy 61.519418 49.861161) (xy 61.086256 49.775) (xy 60.793744 49.775) (xy 60.360582 49.861161) + (xy 59.869375 50.189375) (xy 59.67 50.487761) (xy 59.470625 50.189375) (xy 58.979418 49.861161) (xy 58.546256 49.775) + (xy 58.253744 49.775) (xy 57.820582 49.861161) (xy 57.329375 50.189375) (xy 57.001161 50.680582) (xy 56.885908 51.26) + (xy 56.479256 51.26) (xy 56.235719 50.672051) (xy 55.327949 49.764281) (xy 55.076192 49.66) (xy 109.923808 49.66) + ) + ) ) - (zone (net 101) (net_name +1V2) (layer In2.Cu) (tstamp 0) (hatch edge 0.508) + (zone (net 101) (net_name +1V2) (layer In2.Cu) (tstamp 5E379136) (hatch edge 0.508) (priority 1) (connect_pads (clearance 0.508)) (min_thickness 0.254) - (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts (xy 86.05 59.192486) (xy 86.05 60.242486) (xy 86.046729 60.242479) (xy 86 75.242486) (xy 70.45 75.192486) (xy 70.496679 60.208601) (xy 63.1 60.192486) (xy 63.1 59.142486) (xy 70.49995 59.158608) (xy 70.5 59.142486) ) ) + (filled_polygon + (pts + (xy 73.74923 59.279934) (xy 73.772569 59.33628) (xy 74.06372 59.627431) (xy 74.444126 59.785) (xy 74.855874 59.785) + (xy 75.23628 59.627431) (xy 75.527431 59.33628) (xy 75.548374 59.285719) (xy 79.133536 59.297247) (xy 79.36372 59.527431) + (xy 79.744126 59.685) (xy 80.155874 59.685) (xy 80.53628 59.527431) (xy 80.591805 59.471906) (xy 80.744126 59.535) + (xy 81.155874 59.535) (xy 81.53628 59.377431) (xy 81.608506 59.305205) (xy 85.923 59.319078) (xy 85.923 60.225867) + (xy 85.91973 60.242083) (xy 85.917779 60.86851) (xy 85.822569 60.96372) (xy 85.665 61.344126) (xy 85.665 61.755874) + (xy 85.786831 62.05) (xy 85.665 62.344126) (xy 85.665 62.755874) (xy 85.822569 63.13628) (xy 85.91044 63.224151) + (xy 85.89657 67.676487) (xy 85.765 67.994126) (xy 85.765 68.405874) (xy 85.893333 68.715696) (xy 85.89296 68.835202) + (xy 85.765 69.144126) (xy 85.765 69.555874) (xy 85.889777 69.857113) (xy 85.880562 72.815) (xy 85.794126 72.815) + (xy 85.41372 72.972569) (xy 85.122569 73.26372) (xy 84.965 73.644126) (xy 84.965 74.055874) (xy 85.122569 74.43628) + (xy 85.16311 74.476821) (xy 84.882076 74.593229) (xy 84.590925 74.88438) (xy 84.497199 75.110654) (xy 81.113485 75.099774) + (xy 81.08628 75.072569) (xy 80.705874 74.915) (xy 80.294126 74.915) (xy 79.914645 75.072186) (xy 79.655874 74.965) + (xy 79.244126 74.965) (xy 78.935659 75.092771) (xy 74.286831 75.077823) (xy 74.255874 75.065) (xy 73.844126 75.065) + (xy 73.816818 75.076311) (xy 70.577395 75.065895) (xy 70.578559 74.692134) (xy 70.635 74.555874) (xy 70.635 74.144126) + (xy 70.580675 74.012974) (xy 70.581411 73.776671) (xy 70.698444 73.494126) (xy 74.065 73.494126) (xy 74.065 73.905874) + (xy 74.222569 74.28628) (xy 74.51372 74.577431) (xy 74.894126 74.735) (xy 75.305874 74.735) (xy 75.68628 74.577431) + (xy 75.977431 74.28628) (xy 76.135 73.905874) (xy 76.135 73.494126) (xy 76.093579 73.394126) (xy 77.465 73.394126) + (xy 77.465 73.805874) (xy 77.622569 74.18628) (xy 77.91372 74.477431) (xy 78.294126 74.635) (xy 78.705874 74.635) + (xy 79.08628 74.477431) (xy 79.377431 74.18628) (xy 79.535 73.805874) (xy 79.535 73.394126) (xy 79.377431 73.01372) + (xy 79.08628 72.722569) (xy 78.705874 72.565) (xy 78.294126 72.565) (xy 77.91372 72.722569) (xy 77.622569 73.01372) + (xy 77.465 73.394126) (xy 76.093579 73.394126) (xy 75.977431 73.11372) (xy 75.68628 72.822569) (xy 75.305874 72.665) + (xy 74.894126 72.665) (xy 74.51372 72.822569) (xy 74.222569 73.11372) (xy 74.065 73.494126) (xy 70.698444 73.494126) + (xy 70.735 73.405874) (xy 70.735 72.994126) (xy 70.584977 72.631939) (xy 70.594662 69.52309) (xy 70.744126 69.585) + (xy 71.155874 69.585) (xy 71.53628 69.427431) (xy 71.827431 69.13628) (xy 71.985 68.755874) (xy 71.985 68.744126) + (xy 75.865 68.744126) (xy 75.865 69.155874) (xy 76.022569 69.53628) (xy 76.31372 69.827431) (xy 76.694126 69.985) + (xy 76.865 69.985) (xy 76.865 70.280873) (xy 77.022569 70.661279) (xy 77.31372 70.95243) (xy 77.694126 71.109999) + (xy 77.915 71.109999) (xy 77.915 71.305874) (xy 78.072569 71.68628) (xy 78.36372 71.977431) (xy 78.744126 72.135) + (xy 79.155874 72.135) (xy 79.53628 71.977431) (xy 79.827431 71.68628) (xy 79.985 71.305874) (xy 79.985 70.894126) + (xy 79.827431 70.51372) (xy 79.53628 70.222569) (xy 79.155874 70.065) (xy 78.935 70.065) (xy 78.935 69.869125) + (xy 78.777431 69.488719) (xy 78.48628 69.197568) (xy 78.105874 69.039999) (xy 77.935 69.039999) (xy 77.935 68.744126) + (xy 77.777431 68.36372) (xy 77.48628 68.072569) (xy 77.105874 67.915) (xy 76.694126 67.915) (xy 76.31372 68.072569) + (xy 76.022569 68.36372) (xy 75.865 68.744126) (xy 71.985 68.744126) (xy 71.985 68.344126) (xy 71.827431 67.96372) + (xy 71.53628 67.672569) (xy 71.155874 67.515) (xy 70.744126 67.515) (xy 70.600733 67.574395) (xy 70.609465 64.771299) + (xy 73.916878 64.771299) (xy 73.916878 65.183047) (xy 74.074447 65.563453) (xy 74.365598 65.854604) (xy 74.746004 66.012173) + (xy 75.157752 66.012173) (xy 75.538158 65.854604) (xy 75.829309 65.563453) (xy 75.830735 65.56001) (xy 76.049689 65.56001) + (xy 76.430095 65.402441) (xy 76.68841 65.144126) (xy 78.54003 65.144126) (xy 78.54003 65.555874) (xy 78.697599 65.93628) + (xy 78.98875 66.227431) (xy 79.369156 66.385) (xy 79.780904 66.385) (xy 80.16131 66.227431) (xy 80.452461 65.93628) + (xy 80.61003 65.555874) (xy 80.61003 65.330554) (xy 80.768156 65.265056) (xy 81.059307 64.973905) (xy 81.175195 64.694126) + (xy 83.415 64.694126) (xy 83.415 65.105874) (xy 83.572569 65.48628) (xy 83.86372 65.777431) (xy 84.244126 65.935) + (xy 84.655874 65.935) (xy 85.03628 65.777431) (xy 85.327431 65.48628) (xy 85.485 65.105874) (xy 85.485 64.694126) + (xy 85.327431 64.31372) (xy 85.03628 64.022569) (xy 84.655874 63.865) (xy 84.244126 63.865) (xy 83.86372 64.022569) + (xy 83.572569 64.31372) (xy 83.415 64.694126) (xy 81.175195 64.694126) (xy 81.216876 64.593499) (xy 81.216876 64.181751) + (xy 81.059307 63.801345) (xy 81.002573 63.744611) (xy 81.060039 63.605874) (xy 81.060039 63.194126) (xy 80.90247 62.81372) + (xy 80.611319 62.522569) (xy 80.230913 62.365) (xy 79.819165 62.365) (xy 79.438759 62.522569) (xy 79.147608 62.81372) + (xy 78.990039 63.194126) (xy 78.990039 63.605874) (xy 79.147608 63.98628) (xy 79.204342 64.043014) (xy 79.146876 64.181751) + (xy 79.146876 64.407071) (xy 78.98875 64.472569) (xy 78.697599 64.76372) (xy 78.54003 65.144126) (xy 76.68841 65.144126) + (xy 76.697536 65.135) (xy 76.955874 65.135) (xy 77.33628 64.977431) (xy 77.627431 64.68628) (xy 77.785 64.305874) + (xy 77.785 63.894126) (xy 77.627431 63.51372) (xy 77.33628 63.222569) (xy 76.955874 63.065) (xy 76.544126 63.065) + (xy 76.16372 63.222569) (xy 75.896279 63.49001) (xy 75.637941 63.49001) (xy 75.257535 63.647579) (xy 74.966384 63.93873) + (xy 74.964958 63.942173) (xy 74.746004 63.942173) (xy 74.365598 64.099742) (xy 74.074447 64.390893) (xy 73.916878 64.771299) + (xy 70.609465 64.771299) (xy 70.623195 60.363925) (xy 73.286877 60.376998) (xy 73.339225 60.365989) (xy 73.379675 60.337366) + (xy 73.406092 60.295442) (xy 73.414454 60.246599) (xy 73.38853 59.278774) + ) + ) ) - (zone (net 101) (net_name +1V2) (layer In2.Cu) (tstamp 0) (hatch edge 0.508) + (zone (net 101) (net_name +1V2) (layer In2.Cu) (tstamp 5E379133) (hatch edge 0.508) (priority 2) (connect_pads (clearance 0.508)) (min_thickness 0.254) - (fill (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) (polygon (pts (xy 63.1 60.2) (xy 73.2875 60.25) (xy 73.2125 57.45) (xy 62.975 57.4) ) ) + (filled_polygon + (pts + (xy 73.088841 57.576397) (xy 73.157036 60.122359) (xy 70.582006 60.109721) (xy 70.582006 59.900046) (xy 70.424437 59.51964) + (xy 70.133286 59.228489) (xy 69.75288 59.07092) (xy 69.341132 59.07092) (xy 68.960726 59.228489) (xy 68.669575 59.51964) + (xy 68.512006 59.900046) (xy 68.512006 60.099561) (xy 63.221484 60.073595) (xy 63.107826 57.52765) + ) + ) ) ) diff --git a/ice4pi.pretty/PinSocket_2x20_P2.54mm_Vertical_1_04mm.kicad_mod b/ice4pi.pretty/PinSocket_2x20_P2.54mm_Vertical_1_04mm.kicad_mod new file mode 100644 index 0000000..0f115b0 --- /dev/null +++ b/ice4pi.pretty/PinSocket_2x20_P2.54mm_Vertical_1_04mm.kicad_mod @@ -0,0 +1,75 @@ +(module Connector_PinSocket_2.54mm:PinSocket_2x20_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A433) + (descr "Through hole straight socket strip, 2x20, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 2x20 2.54mm double row") + (fp_text reference J2 (at -1.27 -2.77) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value Raspberry_Pi_2_3 (at -1.27 51.03) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at -1.27 24.13 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.34 50) (end -4.34 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.76 50) (end -4.34 50) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.76 -1.8) (end 1.76 50) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.34 -1.8) (end 1.76 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -1.33) (end -1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 49.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.87 49.59) (end 1.33 49.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.87 -1.33) (end -3.87 49.59) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.87 -1.33) (end -1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.81 49.53) (end -3.81 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 49.53) (end -3.81 49.53) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -0.27) (end 1.27 49.53) (layer F.Fab) (width 0.1)) + (fp_line (start 0.27 -1.27) (end 1.27 -0.27) (layer F.Fab) (width 0.1)) + (fp_line (start -3.81 -1.27) (end 0.27 -1.27) (layer F.Fab) (width 0.1)) + (pad 40 thru_hole oval (at -2.54 48.26) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 39 thru_hole oval (at 0 48.26) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 38 thru_hole oval (at -2.54 45.72) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 37 thru_hole oval (at 0 45.72) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 36 thru_hole oval (at -2.54 43.18) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 35 thru_hole oval (at 0 43.18) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 34 thru_hole oval (at -2.54 40.64) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 33 thru_hole oval (at 0 40.64) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 32 thru_hole oval (at -2.54 38.1) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 31 thru_hole oval (at 0 38.1) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 30 thru_hole oval (at -2.54 35.56) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 29 thru_hole oval (at 0 35.56) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 28 thru_hole oval (at -2.54 33.02) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 27 thru_hole oval (at 0 33.02) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 26 thru_hole oval (at -2.54 30.48) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 25 thru_hole oval (at 0 30.48) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 24 thru_hole oval (at -2.54 27.94) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 23 thru_hole oval (at 0 27.94) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 22 thru_hole oval (at -2.54 25.4) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 21 thru_hole oval (at 0 25.4) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 20 thru_hole oval (at -2.54 22.86) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 19 thru_hole oval (at 0 22.86) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 18 thru_hole oval (at -2.54 20.32) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 17 thru_hole oval (at 0 20.32) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 16 thru_hole oval (at -2.54 17.78) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 15 thru_hole oval (at 0 17.78) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 14 thru_hole oval (at -2.54 15.24) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 13 thru_hole oval (at 0 15.24) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 12 thru_hole oval (at -2.54 12.7) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 10 thru_hole oval (at -2.54 10.16) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 8 thru_hole oval (at -2.54 7.62) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at -2.54 5.08) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 4 thru_hole oval (at -2.54 2.54) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 2 thru_hole oval (at -2.54 0) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1.04) (layers *.Cu *.Mask)) + (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x20_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) +) diff --git a/ice4pi.pretty/TFDU4101-TR3.kicad_mod b/ice4pi.pretty/TFDU4101-TR3.kicad_mod index 16c4e08..cd73ba5 100644 --- a/ice4pi.pretty/TFDU4101-TR3.kicad_mod +++ b/ice4pi.pretty/TFDU4101-TR3.kicad_mod @@ -1,27 +1,33 @@ -(module TFDU4101-TR3 (layer F.Cu) (tedit 5E2F7BC5) +(module TFDU4101-TR3 (layer F.Cu) (tedit 5E345041) (fp_text reference U5 (at -5.35 -1.4 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text value TFDU4101-TR3 (at 5.4 2.6) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_line (start -4 -1.4) (end -4 -1.2) (layer F.SilkS) (width 0.15)) - (fp_line (start -3.8 -1.4) (end -4 -1.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 4 -1.4) (end 4 -1.2) (layer F.SilkS) (width 0.15)) - (fp_line (start 3.8 -1.4) (end 4 -1.4) (layer F.SilkS) (width 0.15)) - (fp_line (start 4 1.5) (end -4 1.5) (layer F.CrtYd) (width 0.15)) - (fp_line (start 4 -1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) - (fp_line (start 4 1.5) (end 4 -1.5) (layer F.CrtYd) (width 0.15)) - (fp_line (start -4 1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) (fp_text user Edge (at 0.4 2.3) (layer F.Fab) (effects (font (size 1 1) (thickness 0.15))) ) - (pad 8 smd rect (at 3.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) - (pad 7 smd rect (at 2.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) - (pad 6 smd rect (at 1.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) - (pad 5 smd rect (at 0.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) - (pad 4 smd rect (at -0.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) - (pad 3 smd rect (at -1.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) - (pad 2 smd rect (at -2.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (fp_line (start -4 1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 4 1.5) (end 4 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 4 -1.5) (end -4 -1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 4 1.5) (end -4 1.5) (layer F.CrtYd) (width 0.15)) + (fp_line (start 3.8 -1.4) (end 4 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start 4 -1.4) (end 4 -1.2) (layer F.SilkS) (width 0.15)) + (fp_line (start -3.8 -1.4) (end -4 -1.4) (layer F.SilkS) (width 0.15)) + (fp_line (start -4 -1.4) (end -4 -1.2) (layer F.SilkS) (width 0.15)) + (fp_text user 1 (at -4 -0.7) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.05))) + ) + (fp_text user 8 (at 4 -0.7) (layer F.SilkS) + (effects (font (size 0.5 0.5) (thickness 0.05))) + ) (pad 1 smd rect (at -3.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 2 smd rect (at -2.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 3 smd rect (at -1.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 4 smd rect (at -0.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 5 smd rect (at 0.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 6 smd rect (at 1.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 7 smd rect (at 2.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) + (pad 8 smd rect (at 3.5 0) (size 0.6 2.5) (layers F.Cu F.Paste F.Mask)) ) diff --git a/ice4pi.sch b/ice4pi.sch index 6bcea97..03d9567 100644 --- a/ice4pi.sch +++ b/ice4pi.sch @@ -82,10 +82,10 @@ L ice4pi:N25Q032A13ESC40F U2 U 1 1 5E1E1709 P 9500 4550 F 0 "U2" H 9200 5000 50 0000 C CNN -F 1 "N25Q032A13ESC40F" H 9900 4150 50 0000 C CNN +F 1 "W25Q32JVSSIQ" H 9900 4150 50 0000 C CNN F 2 "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" H 10000 4150 50 0001 C CNN F 3 "" H 10000 4150 50 0001 C CNN -F 4 "W25Q32JVSSIQ" H 9500 4550 50 0001 C CNN "PN" +F 4 "W25Q32JVSNIQ" H 9500 4550 50 0001 C CNN "PN" 1 9500 4550 1 0 0 -1 $EndComp @@ -1153,7 +1153,7 @@ F 0 "U3" H 1650 5765 50 0000 C CNN F 1 "LT3030" H 1650 5674 50 0000 C CNN F 2 "ice4pi:TSSOP-20_4.4x6.5mm_P0.65mm" H 1250 5700 50 0001 C CNN F 3 "" H 1250 5700 50 0001 C CNN -F 4 "LT3030EFE#TRPBF" H 1650 5050 50 0001 C CNN "PN" +F 4 "LT3030EFE#PBF" H 1650 5050 50 0001 C CNN "PN" 1 1650 5050 1 0 0 -1 $EndComp @@ -1199,7 +1199,7 @@ F 0 "R1" H 820 5146 50 0000 L CNN F 1 "1M" H 820 5055 50 0000 L CNN F 2 "Resistor_SMD:R_0402_1005Metric" V 680 5100 50 0001 C CNN F 3 "~" H 750 5100 50 0001 C CNN -F 4 "ERJ-2GEJ106X" H 750 5100 50 0001 C CNN "PN" +F 4 "ERJ-2GEJ105X" H 750 5100 50 0001 C CNN "PN" 1 750 5100 1 0 0 -1 $EndComp @@ -1306,7 +1306,7 @@ F 0 "U1" H 7480 2377 50 0000 L CNN F 1 "ICE40HX1K-TQ144" H 7480 2286 50 0000 L CNN F 2 "Package_QFP:TQFP-144_20x20mm_P0.5mm" H 7100 800 50 0001 C CNN F 3 "http://www.latticesemi.com/Products/FPGAandCPLD/iCE40" H 6250 3650 50 0001 C CNN -F 4 "842-ICE40HX1K-TQ144" H 7100 2250 50 0001 C CNN "PN" +F 4 "ICE40HX1K-TQ144" H 7100 2250 50 0001 C CNN "PN" 4 7100 2250 1 0 0 -1 $EndComp @@ -1379,9 +1379,9 @@ U 1 1 5E30CCA6 P 6650 5200 F 0 "J2" H 6650 6678 50 0000 C CNN F 1 "Raspberry_Pi_2_3" H 6650 6587 50 0000 C CNN -F 2 "Connector_PinHeader_2.54mm:PinHeader_2x20_P2.54mm_Vertical" H 6650 5200 50 0001 C CNN +F 2 "ice4pi:PinSocket_2x20_P2.54mm_Vertical_1_04mm" H 6650 5200 50 0001 C CNN F 3 "https://www.raspberrypi.org/documentation/hardware/raspberrypi/schematics/rpi_SCH_3bplus_1p0_reduced.pdf" H 6650 5200 50 0001 C CNN -F 4 "SSW-110-01-T-D" H 6650 5200 50 0001 C CNN "PN" +F 4 "SSW-120-01-T-D-006" H 6650 5200 50 0001 C CNN "PN" 1 6650 5200 1 0 0 -1 $EndComp @@ -1404,7 +1404,7 @@ F 0 "J1" H 1500 7067 50 0000 C CNN F 1 "Pmod 2x6" H 1500 6976 50 0000 C CNN F 2 "ice4pi:PinSocket_2x06_P2.54mm_Vertical_1to6_7to12" H 1450 6650 50 0001 C CNN F 3 "~" H 1450 6650 50 0001 C CNN -F 4 "M20-7830342" H 1450 6650 50 0001 C CNN "PN" +F 4 "SSW-106-01-T-D" H 1450 6650 50 0001 C CNN "PN" 1 1450 6650 1 0 0 -1 $EndComp -- cgit v1.2.3