From e4df12dbe2ffdec4b43911ef895372f8cf97972c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Martin=20Stensg=C3=A5rd?= Date: Sun, 27 Apr 2025 19:32:13 +0200 Subject: verilator_example_tracing: dump value change --- verilator_example_tracing/hello.v | 15 +++++++++++++++ 1 file changed, 15 insertions(+) create mode 100644 verilator_example_tracing/hello.v (limited to 'verilator_example_tracing/hello.v') diff --git a/verilator_example_tracing/hello.v b/verilator_example_tracing/hello.v new file mode 100644 index 0000000..a62addb --- /dev/null +++ b/verilator_example_tracing/hello.v @@ -0,0 +1,15 @@ +module hello(input clk); + reg [31:0] i = 0; + initial begin + $display("start"); + $dumpfile("dump.vcd"); + $dumpvars(); + end + always @(posedge clk) begin + if (i == 1000) begin + $display("stop"); + $finish; + end + i <= i+1; + end +endmodule -- cgit v1.2.3