summaryrefslogtreecommitdiff
path: root/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile26
1 files changed, 0 insertions, 26 deletions
diff --git a/Makefile b/Makefile
deleted file mode 100644
index 493a2d2..0000000
--- a/Makefile
+++ /dev/null
@@ -1,26 +0,0 @@
-TOP = top
-
-all: check top.bin
-
-check:
- verilator --lint-only --top $(TOP) top.v
-
-clean:
- rm -f top.asc top.bin top.json
-
-gui:
- nextpnr-ice40 --hx1k --package tq144 --json top.json --pcf top.pcf --asc top.asc --top $(TOP) --gui
-
-load: all
- iceprog top.bin
-
-.PHONY: all check clean gui load
-
-top.asc: top.json top.pcf
- nextpnr-ice40 --hx1k --package tq144 --json top.json --pcf top.pcf --asc top.asc --top $(TOP)
-
-top.bin: top.asc
- icepack top.asc top.bin
-
-top.json: top.v
- yosys -q -p "synth_ice40 -json top.json -top $(TOP)" top.v